Part Number Hot Search : 
SM3P2 UZ350 PT7A7523 NTE3062 MBRB30 A3195LLT MC1374 M8636
Product Description
Full Text Search
 

To Download 66AK2G12ABY100 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  an important notice at the end of this data sheet addresses availability, warranty, changes, use in safety-critical applications, intellectual property matters and other important disclaimers. advance information for pre-production products; subject to change without notice. 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 66ak2g1x multicore dsp+arm keystone ii system-on-chip (soc) 1 device overview 1 1.1 features 1 processor cores: ? arm ? cortex ? -a15 microprocessor unit (arm a15) subsystem at up to 1000 mhz ? supports full implementation of armv7-a architecture instruction set ? integrated simdv2 ( neon ? technology) and vfpv4 (vector floating point) ? 32kb of l1 program memory ? 32kb of l1 data memory ? 512kb of l2 memory ? error correction code (ecc) protection for l1 data memory ecc for l2 memory ? parity protection for l1 program memory ? global timebase counter (gtc) ? 64-bit free-running counter that provides timebase for arm a15 internal timers ? compliant to arm v7 mpcore architecture for generic timers ? c66x fixed- and floating-point vliw dsp subsystem at up to 1000 mhz ? fully object-code compatible with c67x+ and c64x+ cores ? 32kb of l1 program memory ? 32kb of l1 data memory ? 1024kb of l2 configurable as l2 ram or cache ? error detection for l1 program memory ? ecc for l1 data memory ? ecc for l2 data memory industrial subsystem: ? up to two programmable real-time unit and industrial communication subsystems (pru- icss), each supports: ? two programmable real-time units (prus) with enhanced multiplier and accumulator, each pru supports: ? 16kb of program memory with ecc ? 8kb of data memory with ecc ? crc32 and crc16 hardware accelerator ? 20 enhanced gpio ? serial capture unit (scu), supporting direct connection, 16-bit parallel capture, 28-bit shift, mii_rt, endat 2.2 protocol and sigma- delta demodulation ? scratch pad and xfr direct connect ? 64kb of general-purpose memory with ecc ? one ethernet mii_rt module with two mii ports configurable for connection with each pru; support multiple industrial communication protocols ? industrial ethernet peripheral (iep) to manage and generate industrial ethernet functions ? built-in universal asynchronous receiver and transmitter (uart) 16550, with a dedicated 192-mhz clock to support 12-mbps profibus ? ? built-in industrial ethernet 64-bit timer ? built-in enhanced capture module (ecap) memory subsystem: ? multicore shared memory controller (msmc) with 1024kb of shared l2 ram ? provides high-performance interconnect to internal shared sram and ddr emif for both arm a15 and c66x access ? supports arm i/o coherency where arm a15 is cache coherent to other system masters accessing the msmc-sram or ddr emif ? supports ecc on sram ? up to 36-bit ddr external memory interface (emif) ? supports ddr3l at up to 1066 mt/s ? supports 4-gb memory address range ? supports 32-bit sdram data bus with 4-bit ecc ? supports 16-bit and 32-bit sdram data bus without ecc ? general-purpose memory controller (gpmc) ? flexible 8- and 16-bit asynchronous memory interface with up to four chip selects ? supports nor, muxed-nor, sram ? supports general-purpose memory-port expansion with the following modes: ? asynchronous read and write access ? asynchronous read page access (4-, 8-, 16- word16) ? synchronous read and write access ? synchronous read burst access without wrap capability (4-, 8-, 16-word16) network subsystem (nss): ? ethernet mac subsystem (emac) ? one-port gigabit ethernet: rmii, mii, rgmii ? supports 10-, 100-, 1000-mbps full duplex productfolder advance information support &community tools & software technical documents ordernow
2 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 device overview copyright ? 2017 ? 2018, texas instruments incorporated ? supports 10-, 100-mbps half duplex ? supports ethernet audio video bridging (eavb) ? maximum frame size 2016 bytes (2020 bytes with vlan) ? eight priority level qos support (802.1p) ? ieee 1588v2 (2008 annex d, annex e, and annex f) to facilitate audio video bridging 802.1as precision time protocol ? cpts module with timestamping support for ieee 1588v2 ? dscp priority mapping (ipv4 and ipv6) ? mdio module for phy management ? enhanced statistics collection ? navigator subsystem (navss) ? built-in packet dma controller for optimized network processing ? built-in queue manager (qm) for optimized network processing ? supports up to 128 queues ? 2048 buffers supported in internal queue ram ? crypto engine (sa) supports: ? crypto function library for aes, des, 3des, sha1, md5, sha2-224 and sha2-256 operations ? block data encryption supported through hardware cores ? aes with 128-, 192-, and 256-bit key supports ? des and 3des with 1, 2, or 3 different key support ? programmable mode control engine (mce) ? public key accelerator (pka) with elliptic curve cryptography ? elliptic curve diffie ? hellman (ecdh) based key exchange and digital signature (ecdsa) applications ? authentication for sha1, md5, sha2-224 and sha2-256 ? keyed hmac operation through hardware core ? true random number generator (trng) display subsystem: ? supports one video pipe with in-loop scaling, color space ? conversion and background color overlay ? input data format: bitmap, rgb16, rgb24, rgb32, argb16, argb32, yuv420, yuv422, and rgb565-a8 ? supported display interfaces: ? mipi ? dpi 2.0 parallel interface ? rfbi (mipi-dbi 2.0) up to qvga at 30fps ? bt.656 4:2:2 ? bt.1120 4:2:2 up to 1920 1080 at 30fps ? in-loop scaling capability ? lcd display interface supports: ? active matrix (tft) ? passive matrix (stn) ? grayscale ? tdm ? ac bias control ? dither ? cpr asynchronous audio sample rate converter (asrc) ? high performance asynchronous sample rate converter with 140db signal-to-noise (snr) ? up to 8 stereo streams (16 audio channels) ? automatically sensing / detection of input sample frequencies ? attenuation of sampling clock jitter ? 16-, 18-, 20-, 24-bit data input/output ? audio sample rates from 8 khz to 216 khz ? input/output sampling ratios from 16:1 to 1:16 ? group mode, where multiple asrc blocks use the same timing loop for input or output ? linear phase fir filter ? controllable soft mute ? independent clock generator, and rate and stamp generator, for each input and output clock zone ? separate dma events for input and output, for each channel and group high-speed serial interfaces: ? pci express ? 2.0 port with integrated phy: ? single lane gen2-compliant port ? root complex (rc) and end point (ep) modes ? up to two usb 2.0 high-speed dual-role ports with integrated phys, support: ? dual-role-device (drd) capability with: ? usb 2.0 peripheral (or device) at hs (480mbps) and fs (12mbps) speeds ? usb 2.0 host at hs (480mbps), fs (12mbps), and ls (1.5mbps) speeds ? usb 2.0 static peripheral and static host advance information
3 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 device overview copyright ? 2017 ? 2018, texas instruments incorporated operations ? xhci controller with the following features: ? compatible to the xhci specification (revision 1.1) in host mode ? all modes of transfer (control, bulk, interrupt, and isochronous) ? 15 transmit (tx), 15 receive (rx) endpoints (eps), and one bidirectional ep0 endpoint flash media interfaces: ? qspi ? with xip and up to four chip selects, supports: ? memory-mapped direct mode of operation for performing flash data transfers and executing code from flash memory (xip) ? supports up to 96 mhz ? internal sram buffer with ecc ? high speed read data capture mechanism ? two multimedia card (mmc) and secure digital (sd) ports ? supports jedec jesd84 v4.5-a441 and sd3.0 physical layer with sda3.00 standards ? mmc0 supports 3.3-v i/o for: ? sd ds and hs mode ? emmc mode hs-sdr and ddr up to 48 mhz ? mmc1 supports 1.8-v i/o modes for emmc, including hs-sdr and ddr at up to 48 mhz with 4- and 8-bit bus width audio peripherals: ? three multichannel audio serial port (mcasp) peripherals ? transmit and receive clocks up to 50 mhz ? two independent clock zones and independent transmit and receive clocks per mcasp ? up to 16-, 10-, 6-serial data pins for mcasp0, mcasp1, and mcasp2, respectively ? supports tdm, i2s, and similar formats ? supports dit mode ? built-in fifo buffers for optimized system traffic ? multichannel buffered serial port (mcbsp) ? transmit and receive clocks up to 50 mhz ? two clock zones and two serial-data pins ? supports tdm, i2s, and similar formats automotive peripherals: ? two controller area network (can) ports ? supports can v2.0 part a, b (iso 11898-1) protocol ? bit rates up to 1 mbps ? dual clock source ? ecc protection for message ram ? one media local bus (mlb) ? supports both 3-pin (up to most50, 1024 fs) and 6-pin (up to most150, 2048 fs) versions of medialb ? physical layer specification v4.2 ? supports all types of data transfer over 64 logical channels (synchronous stream, isochronous, asynchronous packet, control message) ? supports 3-wire most 150 protocol real-time control interfaces: ? six enhanced high resolution pulse width modulation (ehrpwm) modules, each counter supports: ? dedicated 16-bit time-base with period and frequency control ? two independent pwm outputs with single edge operation ? two independent pwm outputs with dual-edge symmetric operation ? one independent pwm output with dual-edge asymmetric operation ? two 32-bit enhanced capture modules (ecap): ? supports one capture input or one auxiliary pwm output configuration options ? 4-event time-stamp registers (each 32-bits) ? interrupt on either of the four events ? three 32-bit enhanced quadrature pulse encoder modules (eqep), each supports: ? quadrature decoding ? position counter and control unit for position measurement ? unit time base for speed and frequency measurement general connectivity: ? three inter-integrated circuit (i 2 c) interfaces, each supports: ? standard (up to 100 khz) and fast (up to 400 khz) modes ? 7-bit addressing mode ? supports eeprom size up to 4mbit ? four serial peripheral interfaces (spi), each supports: ? operates at up to 50 mhz in master mode and 25 mhz in slave mode ? two chip selects ? three uart interfaces ? all uarts are 16c750-compatible and operate at up to 3m baud ? uart0 supports 8 pins with full modem control, with dsr, dtr, dcd, and ri signals ? uart1 and uart2 are 4-pin interfaces ? general-purpose i/o (gpio) ? up to 212 gpios muxed with other interfaces ? can be configured as interrupt pins timers and miscellaneous modules: ? seven 64-bit timers: advance information
4 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 device overview copyright ? 2017 ? 2018, texas instruments incorporated ? two 64-bit timers dedicated to arm a15 and dsp cores (one timer per core) ? watchdog and general-purpose (gp) ? four 64-bit timers are shared for general purposes ? each 64-bit timer can be configured as two individual 32-bit timers ? one 64-bit timer dedicated for pmmc ? two timers input/output pin pairs ? interprocessor communication with: ? message manager to facilitate multiprocessor access to the pmmc: ? provides hardware acceleration for pushing and popping messages to/from logical queues ? supports up to 64 queues and 128 messages ? semaphore module with up to 64 independent semaphores and 16 masters (device cores) ? edma with 128 (2 64) channels and 1024 (2 512) param entries keystone ii system on chip (soc) architecture: ? security ? supports general-purpose (gp) and high- secure (hs) devices ? supports secure boot ? supports customer secondary keys ? 4kb of one-time programmable (otp) rom for customer keys ? power management ? integrated power management microcontroller (pmmc) technology ? supports primary boot from uart, i 2 c, spi, gpmc, sd or emmc, usb device firmware upgrade v1.1, pcie ? , and ethernet interfaces ? keystone ii debug architecture with integrated arm coresight ? support and trace capability operating temperature (t j ): ? ? 40 c to 125 c (automotive) ? ? 40 c to 105 c (extended) ? 0 c to 90 c (commercial) 1.2 applications ? industrial communications and controls ? automotive audio amplifiers ? home audio ? professional audio ? power protection ? other embedded systems 1.3 description 66ak2g1x is a family of heterogeneous multicore system-on-chip (soc) devices based on ti ? s field- proven keystone ii (ks2) architecture. these devices address applications that require both dsp and arm performance, with integration of high-speed peripheral and memory interfaces, hardware acceleration for network and cryptography functions, and high-level operating systems (hlos) support. similar to existing ks2-based soc devices, the 66ak2g1x enables both the dsp and arm cores to master all memory and peripherals in the system. this architecture facilitates maximum software flexibility where either dsp- or arm-centric system designs can be achieved. the 66ak2g1x significantly improves device reliability by extensively implementing error correction code (ecc) in processor cores, shared memory, embedded memory in modules, and external memory interfaces. full analysis of soft error rate (ser) and power-on-hours (poh) shows that the designated 66ak2g1x parts satisfy a wide range of industrial and automotive requirements. accompanied by the new processor sdk, the 66ak2g1x development platform enables unprecedented ease-of-use with main line open source linux, ccs 6.x, a wide range of os-independent device drivers, as well as ti-rtos that enables seamless task management across processor cores. the device also features advanced debug and trace technology with the latest innovations from ti and arm, such as system trace and seamless integration of the arm coresight components. secure boot can also be made available for anticloning and illegal software update protection. for more information about secure boot, contact your ti sales representative. advance information
5 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 device overview copyright ? 2017 ? 2018, texas instruments incorporated table 1-1. device information (1) part number package body size 66ak2g12 fcbga (625) 21.0 mm 21.0 mm (1) for more information, see section 9 , mechanical packaging and orderable information . 1.4 functional block diagram figure 1-1 is a block diagram of the device. figure 1-1. functional block diagram advance information teranet audio peripherals general connectivity automotive interfaces 1x arm? cortex Ca15 ? 1x c66x dsp intro_001 66ak2g1x 7x timers 64-bits media & data storage qspi 2x mmc/sd 3x mcasp mcbsp medialb most150 ? 2x dcan pcie? 2x gpio 2x usb 2.0 dual role high-speedserial interfaces 3x uart 4x spi 3x i2c semaphore edma control interfaces 6x epwm 2x ecap 3x eqep single lane + phy gen 2 512kb l2 w/ ecc 1mb l2 w/ ecc copyright ? 2016, texas instruments incorporated memory subsystem gpmc msmc 1mb ram w/ ecc emif 36-bits ddr3l w/ ecc algorithm accelerators and application-specific subsystems network subsystem navss sa crypto engine emac eavb/1588v2 rgmii/rmii/mii queue manager pktdma display subsystem lcd 1x video pipeline blend/scale/csc dpi industrial subsystem 2x pru-icss message manager pmmc asrc
6 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 table of contents copyright ? 2017 ? 2018, texas instruments incorporated table of contents 1 device overview ......................................... 1 1.1 features .............................................. 1 1.2 applications ........................................... 4 1.3 description ............................................ 4 1.4 functional block diagram ........................... 5 2 revision history ......................................... 7 3 device comparison ..................................... 8 3.1 related products ..................................... 9 4 terminal configuration and functions ............ 10 4.1 pin diagram ......................................... 10 4.2 pin attributes ........................................ 10 4.3 signal descriptions .................................. 41 4.4 pin multiplexing ..................................... 72 4.5 connections for unused pins ....................... 83 5 specifications ........................................... 85 5.1 absolute maximum ratings ......................... 85 5.2 esd ratings ........................................ 86 5.3 power-on-hour (poh) limits (1) (2) (3) ................ 87 5.4 recommended operating conditions ............... 87 5.5 operating performance points ...................... 88 5.6 power consumption summary ...................... 88 5.7 electrical characteristics ............................ 88 5.8 thermal resistance characteristics for aby package ............................................. 93 5.9 thermal resistance characteristics for aby package ............................................. 93 5.10 timing and switching characteristics ............... 94 6 detailed description .................................. 172 6.1 overview ........................................... 172 6.2 functional block diagram ......................... 173 6.3 arm a15 ........................................... 174 6.4 c66x dsp subsystem ............................. 175 6.5 c66x cache subsystem ........................... 176 6.6 pru-icss .......................................... 176 6.7 memory subsystem ................................ 178 6.8 interprocessor communication .................... 180 6.9 edma .............................................. 182 6.10 peripherals ......................................... 183 7 applications, implementation, and layout ...... 201 7.1 ddr3l board design and layout guidelines ..... 201 7.2 high speed differential signal routing guidance . 221 7.3 power distribution network implementation guidance ........................................... 221 7.4 single-ended interfaces ........................... 222 7.5 clock routing guidelines .......................... 222 8 device and documentation support .............. 224 8.1 device nomenclature .............................. 224 8.2 tools and software ................................ 225 8.3 documentation support ............................ 225 8.4 receiving notification of documentation updates . 226 8.5 electrostatic discharge caution ................... 226 8.6 community resources ............................. 226 8.7 trademarks ........................................ 226 8.8 glossary ............................................ 226 9 mechanical packaging and orderable information ............................................. 227 advance information
7 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 revision history copyright ? 2017 ? 2018, texas instruments incorporated 2 revision history changes from october 16, 2017 to may 11, 2018 (from b revision (october 2017) to c revision) page ? removed elm and nand support from the feature list. ...................................................................... 1 ? changed " 66ak2g3x " in section 1.3 to " 66ak2g1x " ........................................................................... 4 ? removed elm support from table 3-1 , device comparison .................................................................. 8 ? changed the io voltage value for ddr3_vrefsstl, the power value to ? dvdd_ddr ? , buffer type to cml for pcie signals, the io voltage value for usb0_vbus and usb1_vbus in table 4-1 to " 5.0 v " ..................... 10 ? updated signal descriptions in usb and oscillator peripherals. also updates in connections for unused pins section. ............................................................................................................................... 53 ? added a note to table 4-22 gives more details about the recommended external pulls, attached to bootmode* signals. .............................................................................................................. 68 ? updated descriptions in table 4-27 power supply signal descriptions .................................................... 70 ? the absolute maximum ratings section is updated to be more clear about v supply , v io (steady-state) and v io (transient overshoot and undershoot) parameters. ............................................................................ 85 ? updates to recommended operating conditions table: - added vpp2 parameter; - removed outputpower supply voltage range section; - moved ddr3_vrefsstl parameter to input power supply voltage range. .................. 87 ? updated power consumption summary section, also updated parameter descriptions and values in electrical characteristics section .............................................................................................................. 88 ? updated power-up and power down sequence figures ...................................................................... 95 ? updated section 5.10.3.1 input clocks / oscillators and section 5.10.3.4 output clocks; added new sections section 5.10.3.2 optional audio oscillator (audosc) with external crystal circuit and section 5.10.3.3 optional audio oscillator (audosc) with external lvcmos clock source .......................................................... 98 ? removed nand flash ? asynchronous mode timings, since nand operation is not supported feature ............. 136 ? removed elm support and nand references, since these features are not supported by the device. .............. 179 ? fixed typo in figure 7-24 sysosc and audioosc pcb requirements ................................................. 222 advance information
8 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 device comparison copyright ? 2017 ? 2018, texas instruments incorporated 3 device comparison table 3-1 lists the features of the 66ak2g1x devices. table 3-1. device comparison features reference name 66ak2g12 processors and accelerators speed grades see table 5-1 arm cortex-a15 microprocessor subsystem arm a15 yes c66x vliw digital signal processor c66x yes power management micro controller pmmc yes display subsystem dss yes program and data storage multicore shared memory controller msmc up to 1mb (on-chip shared sram with ecc) general-purpose memory controller gpmc up to 1gb ddr external memory interface emif up to 4gb (32 bit data) secded/ecc yes peripherals dual controller area network interface dcan 2 enhanced direct memory access edma yes network subsystem emac rmii, mii, rgmii with eavb navss pktdma and qm sa yes general-purpose i/o gpio up to 212 inter-integrated circuit interface i2c 3 message manager msgmgr yes semaphore sem yes media local bus subsystem mlb yes (3-pin or 6-pin modes) multichannel buffered serial port mcbsp yes audio asynchronous sample rate converter asrc yes multichannel audio serial port mcasp0 16 serializers mcasp1 10 serializers mcasp2 6 serializers multimedia card, secure digital interface (mmc/sd) mmc0 emmc, sd (3.3 v) - 8-bits mmc1 emmc (1.8 v) - 8-bits pci express 2.0 port with integrated phy pciess yes (single-lane mode) programmable real-time unit subsystem and industrial communication subsystem pru-icss 2 serial peripheral interface spi 4 quad spi qspi yes general-purpose timers timer_1 to timer_4 4 general-purpose or watchdog timer dedicated to arm timer_5 1 general-purpose or watchdog timer dedicated to dsp timer_0 1 dedicated to pmmc timer timer_6 1 enhanced pwm module epwm 6 enhanced capture module ecap 2 enhanced quadrature encoder pulse module eqep 3 universal asynchronous receiver and transmitter uart 3 advance information
9 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 device comparison copyright ? 2017 ? 2018, texas instruments incorporated table 3-1. device comparison (continued) features reference name 66ak2g12 universal serial bus (usb2.0) high speed dual-role-device (drd) ports with phy usb 2 3.1 related products digital signal processors dsps bring computing performance, real-time processing, and power efficiency to diverse applications ranging from sensors to servers. our product range spans high-performance real-time needs, to power-efficient processors with industry-leading lowest active power needs. choose one of the following scalable solutions. c6000 multicore dsp + arm soc ti dsp + arm processors include a wide range of device choices that deliver the highest performance at the lowest power levels and costs. ti dsp + arm solutions range from single core arm9 + c674x dsp to quad-core arm cortex-a15 + 8xc66x dsp cores. 66ak2x multicore dsp + arm processors companion products for 66akg0x/66akg1x review products that are frequently purchased or used in conjunction with this product. reference designs for 66akg0x/66akg1x ti designs reference design library is a robust reference design library spanning analog, embedded processor and connectivity. created by ti experts to help you jump-start your system design, all ti designs include schematic or block diagrams, boms and design files to speed your time to market. search and download designs at ti.com/tidesigns . advance information
10 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4 terminal configuration and functions note the terms 'ball', 'pin', and 'terminal' are used interchangeably throughout the document. an attempt is made to use 'ball' only when referring to the physical package. 4.1 pin diagram figure 4-1 shows the ball locations for the 625 plastic ball grid array (fcbga) package that are used in conjunction with table 4-1 through table 4-27 to locate signal names and ball grid numbers. figure 4-1. aby fcbga-n625 package (bottom view) 4.2 pin attributes table 4-1 describes the terminal characteristics and the signals multiplexed on each ball. advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 11 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] c17 audosc_in audosc_in 0 i 0 1.8 v dvdd18 analog a17 audosc_out audosc_out 0 o 0 1.8 v dvdd18 analog n6 avdda_armpll avdda_armpll pwr w20 avdda_ddrpll avdda_ddrpll pwr n20 avdda_dsspll avdda_dsspll pwr g8 avdda_icsspll avdda_icsspll pwr m19 avdda_mainpll avdda_mainpll pwr g14 avdda_nsspll avdda_nsspll pwr g10 avdda_uartpll avdda_uartpll pwr y3 bootcomplete bootcomplete 0 oz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd l21 cpts_refclk_n cpts_refclk_n 0 i 0 1.8 v dvdd18 lvds k21 cpts_refclk_p cpts_refclk_p 0 i 0 1.8 v dvdd18 lvds j10, j14, j16, k11, k13, k15, k17, k9, l10, l12, l14, l16, l18, m11, m13, m15, m17, m9, n10, n12, n14, n16, p11, p13, p15, p17, p9, r10, r12, r14, r16, r18, r8, t11, t15, t17, t9, u16 cvdd cvdd pwr j12, m5, n18, n8, t13 cvdd1 cvdd1 pwr r5 dcan0_rx dcan0_rx 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_57 3 ioz 0 p5 dcan0_tx dcan0_tx 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_56 3 ioz 0 ac13 ddr3_casn ddr3_casn 0 oz off drive 1 (off) 0 1.35 v dvdd_ddr hstl pu/pd y11 ddr3_cbdqm ddr3_cbdqm no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ad12 ddr3_cbdqs_n ddr3_cbdqs_n no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ae12 ddr3_cbdqs_p ddr3_cbdqs_p no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ae13 ddr3_rasn ddr3_rasn 0 oz off drive 1 (off) 0 1.35 v dvdd_ddr hstl pu/pd y18 ddr3_resetn ddr3_resetn 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr lvcmos y9 ddr3_vrefsstl ddr3_vrefsstl 0 a 0 0.5 x dvdd_dd r dvdd_ddr analog y13 ddr3_wen ddr3_wen 0 oz off drive 1 (off) 0 1.35 v dvdd_ddr hstl pu/pd advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 12 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] ac15 ddr3_a00 ddr3_a00 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd y15 ddr3_a01 ddr3_a01 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ac16 ddr3_a02 ddr3_a02 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa15 ddr3_a03 ddr3_a03 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ab16 ddr3_a04 ddr3_a04 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ae17 ddr3_a05 ddr3_a05 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ac14 ddr3_a06 ddr3_a06 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ab15 ddr3_a07 ddr3_a07 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ac17 ddr3_a08 ddr3_a08 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ab17 ddr3_a09 ddr3_a09 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ab14 ddr3_a10 ddr3_a10 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa16 ddr3_a11 ddr3_a11 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa17 ddr3_a12 ddr3_a12 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa12 ddr3_a13 ddr3_a13 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd y17 ddr3_a14 ddr3_a14 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd y16 ddr3_a15 ddr3_a15 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa14 ddr3_ba0 ddr3_ba0 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ab13 ddr3_ba1 ddr3_ba1 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ad17 ddr3_ba2 ddr3_ba2 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd aa11 ddr3_cb00 ddr3_cb00 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab11 ddr3_cb01 ddr3_cb01 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac11 ddr3_cb02 ddr3_cb02 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac12 ddr3_cb03 ddr3_cb03 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ad13 ddr3_cen0 ddr3_cen0 0 oz off drive 1 (off) 0 1.35 v dvdd_ddr hstl pu/pd advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 13 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] ab18 ddr3_cke0 ddr3_cke0 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd ad15 ddr3_clkout_n0 ddr3_clkout_n0 0 oz off 0 1.35 v dvdd_ddr hstl pu/pd ad16 ddr3_clkout_n1 ddr3_clkout_n1 0 oz off 0 1.35 v dvdd_ddr hstl pu/pd ae15 ddr3_clkout_p0 ddr3_clkout_p0 0 oz off 0 1.35 v dvdd_ddr hstl pu/pd ae16 ddr3_clkout_p1 ddr3_clkout_p1 0 oz off 0 1.35 v dvdd_ddr hstl pu/pd ad2 ddr3_d00 ddr3_d00 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd y4 ddr3_d01 ddr3_d01 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac3 ddr3_d02 ddr3_d02 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac2 ddr3_d03 ddr3_d03 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ae3 ddr3_d04 ddr3_d04 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd aa4 ddr3_d05 ddr3_d05 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ad3 ddr3_d06 ddr3_d06 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab3 ddr3_d07 ddr3_d07 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd aa6 ddr3_d08 ddr3_d08 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd y7 ddr3_d09 ddr3_d09 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd y6 ddr3_d10 ddr3_d10 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac5 ddr3_d11 ddr3_d11 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab6 ddr3_d12 ddr3_d12 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd y5 ddr3_d13 ddr3_d13 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac4 ddr3_d14 ddr3_d14 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab5 ddr3_d15 ddr3_d15 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab7 ddr3_d16 ddr3_d16 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab8 ddr3_d17 ddr3_d17 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac7 ddr3_d18 ddr3_d18 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd aa7 ddr3_d19 ddr3_d19 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd aa8 ddr3_d20 ddr3_d20 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac6 ddr3_d21 ddr3_d21 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ae7 ddr3_d22 ddr3_d22 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ad7 ddr3_d23 ddr3_d23 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd aa10 ddr3_d24 ddr3_d24 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ae10 ddr3_d25 ddr3_d25 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ad10 ddr3_d26 ddr3_d26 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac10 ddr3_d27 ddr3_d27 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ac9 ddr3_d28 ddr3_d28 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab10 ddr3_d29 ddr3_d29 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab9 ddr3_d30 ddr3_d30 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 14 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] y8 ddr3_d31 ddr3_d31 no 0 ioz off off 0 1.35 v dvdd_ddr hstl pu/pd ab4 ddr3_dqm0 ddr3_dqm0 0 oz off off 0 1.35 v dvdd_ddr hstl pu/pd aa5 ddr3_dqm1 ddr3_dqm1 0 oz off off 0 1.35 v dvdd_ddr hstl pu/pd ac8 ddr3_dqm2 ddr3_dqm2 0 oz off off 0 1.35 v dvdd_ddr hstl pu/pd aa9 ddr3_dqm3 ddr3_dqm3 0 oz off off 0 1.35 v dvdd_ddr hstl pu/pd ae2 ddr3_dqs0_n ddr3_dqs0_n 0 ioz pu off 0 1.35 v dvdd_ddr hstl pu/pd ad1 ddr3_dqs0_p ddr3_dqs0_p 0 ioz pd off 0 1.35 v dvdd_ddr hstl pu/pd ae4 ddr3_dqs1_n ddr3_dqs1_n 0 ioz pu off 0 1.35 v dvdd_ddr hstl pu/pd ad4 ddr3_dqs1_p ddr3_dqs1_p 0 ioz pd off 0 1.35 v dvdd_ddr hstl pu/pd ad6 ddr3_dqs2_n ddr3_dqs2_n 0 ioz pu off 0 1.35 v dvdd_ddr hstl pu/pd ae6 ddr3_dqs2_p ddr3_dqs2_p 0 ioz pd off 0 1.35 v dvdd_ddr hstl pu/pd ad9 ddr3_dqs3_n ddr3_dqs3_n 0 ioz pu off 0 1.35 v dvdd_ddr hstl pu/pd ae9 ddr3_dqs3_p ddr3_dqs3_p 0 ioz pd off 0 1.35 v dvdd_ddr hstl pu/pd aa13 ddr3_odt0 ddr3_odt0 0 oz off drive 0 (off) 0 1.35 v dvdd_ddr hstl pu/pd w12 ddr3_rzq0 ddr3_rzq0 0 a 0 n/a n/a analog v9 ddr3_rzq1 ddr3_rzq1 0 a 0 n/a n/a analog ad24 ddr_clk_n ddr_clk_n 0 i 0 1.8 v dvdd18 lvds ae24 ddr_clk_p ddr_clk_p 0 i 0 1.8 v dvdd18 lvds v22 dss_data0 dss_data0 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a1 1 oz 0 gpio0_53 3 ioz 0 dss_rfbi_data0 no 5 ioz 0 u21 dss_data1 dss_data1 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a2 1 oz 0 eqep2_s 2 ioz 0 gpio0_52 3 ioz 0 dss_rfbi_data1 no 5 ioz 0 w22 dss_data2 dss_data2 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a3 1 oz 0 eqep2_i 2 ioz 0 gpio0_51 3 ioz 0 dss_rfbi_data2 no 5 ioz 0 mainpll_od_sel bootstrap i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 15 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] v23 dss_data3 dss_data3 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a4 1 oz 0 eqep2_b 2 i 0 gpio0_50 3 ioz 0 dss_rfbi_data3 no 5 ioz 0 u23 dss_data4 dss_data4 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a5 1 oz 0 eqep2_a 2 i 0 gpio0_49 3 ioz 0 dss_rfbi_data4 no 5 ioz 0 noddr bootstrap i 0 v24 dss_data5 dss_data5 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a6 1 oz 0 eqep1_s 2 ioz 0 gpio0_48 3 ioz 0 dss_rfbi_data5 no 5 ioz 0 t21 dss_data6 dss_data6 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a7 1 oz 0 eqep1_i 2 ioz 0 gpio0_47 3 ioz 0 emu19 4 ioz 0 dss_rfbi_data6 no 5 ioz 0 u22 dss_data7 dss_data7 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a8 1 oz 0 eqep1_b 2 i 0 gpio0_46 3 ioz 0 emu18 4 ioz 0 dss_rfbi_data7 no 5 ioz 0 t22 dss_data8 dss_data8 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a9 1 oz 0 eqep1_a 2 i 0 gpio0_45 3 ioz 0 emu17 4 ioz 0 dss_rfbi_data8 no 5 ioz 0 bootmode15 bootstrap i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 16 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] r21 dss_data9 dss_data9 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a10 1 oz 0 eqep0_s 2 ioz 0 gpio0_44 3 ioz 0 emu16 4 ioz 0 dss_rfbi_data9 no 5 ioz 0 bootmode14 bootstrap i 0 u24 dss_data10 dss_data10 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a11 1 oz 0 eqep0_i 2 ioz 0 gpio0_43 3 ioz 0 emu15 4 ioz 0 dss_rfbi_data10 no 5 ioz 0 bootmode13 bootstrap i 0 v25 dss_data11 dss_data11 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a12 1 oz 0 eqep0_b 2 i 0 gpio0_42 3 ioz 0 emu14 4 ioz 0 dss_rfbi_data11 no 5 ioz 0 bootmode12 bootstrap i 0 t24 dss_data12 dss_data12 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a13 1 oz 0 eqep0_a 2 i 0 gpio0_41 3 ioz 0 emu13 4 ioz 0 dss_rfbi_data12 no 5 ioz 0 bootmode11 bootstrap i 0 p21 dss_data13 dss_data13 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a14 1 oz 0 ehrpwm_tzn2 2 i 0 gpio0_40 3 ioz 0 emu12 4 ioz 0 dss_rfbi_data13 no 5 ioz 0 bootmode10 bootstrap i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 17 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] u25 dss_data14 dss_data14 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a15 1 oz 0 ehrpwm2_b 2 ioz 0 gpio0_39 3 ioz 0 emu11 4 ioz 0 dss_rfbi_data14 no 5 ioz 0 bootmode09 bootstrap i 0 r22 dss_data15 dss_data15 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a16 1 oz 0 ehrpwm2_a 2 ioz 0 gpio0_38 3 ioz 0 emu10 4 ioz 0 dss_rfbi_data15 no 5 ioz 0 bootmode08 bootstrap i 0 p23 dss_data16 dss_data16 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a17 1 oz 0 ehrpwm_tzn1 2 i 0 gpio0_37 3 ioz 0 emu09 4 ioz 0 dss_rfbi_csn0 no 5 oz 0 bootmode07 bootstrap i 0 r24 dss_data17 dss_data17 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a18 1 oz 0 ehrpwm1_b 2 ioz 0 gpio0_36 3 ioz 0 emu08 4 ioz 0 dss_rfbi_csn1 no 5 oz 0 bootmode06 bootstrap i 0 n22 dss_data18 dss_data18 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a19 1 oz 0 ehrpwm1_a 2 ioz 0 gpio0_35 3 ioz 0 emu07 4 ioz 0 dss_rfbi_hsync1 no 5 i 0 bootmode05 bootstrap i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 18 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] t25 dss_data19 dss_data19 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a20 1 oz 0 ehrpwm0_synco 2 oz 0 gpio0_34 3 ioz 0 emu06 4 ioz 0 dss_rfbi_tevsync1 no 5 i 0 bootmode04 bootstrap i 0 n24 dss_data20 dss_data20 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a21 1 oz 0 ehrpwm0_synci 2 i 0 gpio0_33 3 ioz 0 emu05 4 ioz 0 bootmode03 bootstrap i 0 p24 dss_data21 dss_data21 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a22 1 oz 0 ehrpwm_tzn0 2 i 0 gpio0_32 3 ioz 0 emu04 4 ioz 0 bootmode02 bootstrap i 0 p25 dss_data22 dss_data22 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a23 1 oz 0 ehrpwm0_b 2 ioz 0 gpio0_31 3 ioz 0 emu03 4 ioz 0 bootmode01 bootstrap i 0 n23 dss_data23 dss_data23 no 0 oz off off 3 3.3 v dvdd33 yes lvcmos 0 gpmc_a24 1 oz 0 ehrpwm0_a 2 ioz 0 gpio0_30 3 ioz 0 emu02 4 ioz 0 bootmode00 bootstrap i 0 m25 dss_de dss_de no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a0 1 oz 0 pr1_edio_outvalid no 2 oz 0 gpio0_57 3 ioz 0 dss_rfbi_wen no 5 oz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 19 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] l25 dss_fid dss_fid no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_edio_outvalid no 2 oz 0 gpio0_58 3 ioz 0 dss_rfbi_a0 no 5 oz 0 p22 dss_hsync dss_hsync no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a26 1 oz 0 pr1_ecap0_ecap_syncin no 2 i 0 gpio0_55 3 ioz 0 dss_rfbi_hsync0 no 5 i 0 n25 dss_pclk dss_pclk no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a27 1 oz 0 pr1_ecap0_ecap_syncout no 2 oz 0 gpio0_56 3 ioz 0 dss_rfbi_ren no 5 oz 0 r25 dss_vsync dss_vsync no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpmc_a25 1 oz 0 pr1_ecap0_ecap_capin_apwm_ o no 2 ioz 0 gpio0_54 3 ioz 0 dss_rfbi_tevsync0 no 5 i 0 f17, f19, g6, h5, j6, k19, l20, l6, m7, u18, u6, v19, w6 dvdd18 dvdd18 pwr aa23, e23, f11, f15, f21, f7, g12, g16, g20, h11, h13, h15, h9, j20, p19, p7, r20, r6, t19, t23, t7, u20, v21 dvdd33 dvdd33 pwr g18, h17 dvdd33_usb dvdd33_usb pwr ad11, ad18, ad5, ae14, ae8, u10, u12, u14, u8, v11, v13, v15, v17, v7, w16, w18 dvdd_ddr dvdd_ddr pwr w10, w14, w8 dvdd_ddrdll dvdd_ddrdll pwr a23 ehrpwm3_a pr0_edio_data3 no 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_73 3 ioz 0 ehrpwm3_a 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 20 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b22 ehrpwm3_b pr0_edio_data2 no 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_74 3 ioz 0 ehrpwm3_b 4 ioz 0 c22 ehrpwm3_synci pr0_edio_data1 no 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_75 3 ioz 0 ehrpwm3_synci 4 i 0 d23 ehrpwm3_synco pr0_edio_data0 no 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_76 3 ioz 0 ehrpwm3_synco 4 oz 0 m22 emu00 emu00 0 ioz pu off 0 3.3 v dvdd33 yes lvcmos pu/pd l22 emu01 emu01 0 ioz pu off 0 3.3 v dvdd33 yes lvcmos pu/pd ac21 gpmc_ad0 gpmc_ad0 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_00 3 ioz 0 ae20 gpmc_ad1 gpmc_ad1 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_01 3 ioz 0 ad22 gpmc_ad2 gpmc_ad2 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_02 3 ioz 0 ad20 gpmc_ad3 gpmc_ad3 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_03 3 ioz 0 ae21 gpmc_ad4 gpmc_ad4 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_04 3 ioz 0 ae22 gpmc_ad5 gpmc_ad5 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_05 3 ioz 0 ac20 gpmc_ad6 gpmc_ad6 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_06 3 ioz 0 ad21 gpmc_ad7 gpmc_ad7 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_07 3 ioz 0 ae23 gpmc_ad8 gpmc_ad8 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_08 3 ioz 0 ab20 gpmc_ad9 gpmc_ad9 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_09 3 ioz 0 aa20 gpmc_ad10 gpmc_ad10 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_10 3 ioz 0 ad23 gpmc_ad11 gpmc_ad11 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_11 3 ioz 0 aa21 gpmc_ad12 gpmc_ad12 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_12 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 21 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] ab21 gpmc_ad13 gpmc_ad13 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_13 3 ioz 0 ab22 gpmc_ad14 gpmc_ad14 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_14 3 ioz 0 aa22 gpmc_ad15 gpmc_ad15 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_15 3 ioz 0 ac23 gpmc_advn_ale gpmc_advn_ale 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_17 3 ioz 0 ac24 gpmc_ben0_cle gpmc_ben0_cle 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_20 3 ioz 0 ab24 gpmc_ben1 gpmc_ben1 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_21 3 ioz 0 ab23 gpmc_clk gpmc_clk 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_16 3 ioz 0 ab25 gpmc_csn0 gpmc_csn0 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_26 3 ioz 0 w24 gpmc_csn1 gpmc_csn1 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 mlb_dat 2 ioz 0 gpio0_27 3 ioz 0 w23 gpmc_csn2 gpmc_csn2 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 timi1 2 i 0 gpio0_28 3 ioz 0 y25 gpmc_csn3 gpmc_csn3 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 timo1 2 oz 0 gpio0_29 3 ioz 0 aa25 gpmc_dir gpmc_dir 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 mlb_sig 2 ioz 0 gpio0_25 3 ioz 0 ac22 gpmc_oen_ren gpmc_oen_ren 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_18 3 ioz 0 y24 gpmc_wait0 gpmc_wait0 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_22 3 ioz 0 aa24 gpmc_wait1 gpmc_wait1 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 mlb_clk 2 i 0 gpio0_23 3 ioz 0 y22 gpmc_wen gpmc_wen 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_19 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 22 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] w25 gpmc_wpn gpmc_wpn 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_24 3 ioz 0 u5 i2c0_scl i2c0_scl 0 iod off off 0 3.3 v dvdd33 i2c open drain w5 i2c0_sda i2c0_sda 0 iod off off 0 3.3 v dvdd33 i2c open drain v6 i2c1_scl i2c1_scl 0 iod off off 0 3.3 v dvdd33 i2c open drain w4 i2c1_sda i2c1_sda 0 iod off off 0 3.3 v dvdd33 i2c open drain v5 i2c2_scl i2c2_scl 0 iod off off 0 3.3 v dvdd33 i2c open drain v4 i2c2_sda i2c2_sda 0 iod off off 0 3.3 v dvdd33 i2c open drain j8, l8 ldo_pcie_cap ldo_pcie_cap cap h19, j18 ldo_usb_cap ldo_usb_cap cap v2 lresetn lresetn 0 i pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd v1 lresetnmienn lresetnmienn 0 i pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd u3 mdio_clk mdio_clk 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_98 3 ioz 0 v3 mdio_data mdio_data 0 ioz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_97 3 ioz 0 b25 mii_col mii_col 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_83 3 ioz 0 g22 mii_crs mii_crs 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rmii_crs_dv 2 i 0 gpio0_84 3 ioz 0 a22 mii_rxclk mii_rxclk 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxc 1 i 0 gpio0_72 3 ioz 0 b24 mii_rxd0 mii_rxd0 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxd0 1 i 0 rmii_rxd0 2 i 0 gpio0_80 3 ioz 0 c23 mii_rxd1 mii_rxd1 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxd1 1 i 0 rmii_rxd1 2 i 0 gpio0_79 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 23 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b23 mii_rxd2 mii_rxd2 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxd2 1 i 0 gpio0_78 3 ioz 0 f22 mii_rxd3 mii_rxd3 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxd3 1 i 0 gpio0_77 3 ioz 0 a24 mii_rxdv mii_rxdv 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_rxctl 1 i 0 gpio0_81 3 ioz 0 f23 mii_rxer mii_rxer 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rmii_rxer 2 i 0 gpio0_82 3 ioz 0 c25 mii_txclk mii_txclk 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txc 1 ioz 0 gpio0_85 3 ioz 0 g23 mii_txd0 mii_txd0 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txd0 1 oz 0 rmii_txd0 2 oz 0 gpio0_94 3 ioz 0 g24 mii_txd1 mii_txd1 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txd1 1 oz 0 rmii_txd1 2 oz 0 gpio0_93 3 ioz 0 g25 mii_txd2 mii_txd2 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txd2 1 oz 0 gpio0_92 3 ioz 0 d25 mii_txd3 mii_txd3 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txd3 1 oz 0 gpio0_91 3 ioz 0 h25 mii_txen mii_txen 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 rgmii_txctl 1 oz 0 rmii_txen 2 oz 0 gpio0_95 3 ioz 0 h24 mii_txer mii_txer 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_ecap0_ecap_syncin no 2 i 0 gpio0_96 3 ioz 0 ehrpwm_tzn3 4 i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 24 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] l23 mlbp_clk_n mlbp_clk_n 0 i 0 1.8 v dvdd18 mlb lvds m23 mlbp_clk_p mlbp_clk_p 0 i 0 1.8 v dvdd18 mlb lvds k22 mlbp_dat_n mlbp_dat_n 0 io 0 1.8 v dvdd18 mlb lvds k23 mlbp_dat_p mlbp_dat_p 0 io 0 1.8 v dvdd18 mlb lvds m24 mlbp_sig_n mlbp_sig_n 0 io 0 1.8 v dvdd18 mlb lvds l24 mlbp_sig_p mlbp_sig_p 0 io 0 1.8 v dvdd18 mlb lvds j4 mmc1_clk mmc1_clk 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_67 3 ioz 0 j2 mmc1_cmd mmc1_cmd 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_68 3 ioz 0 k2 mmc1_pow mmc1_pow 0 oz pd pd 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_71 3 ioz 0 j3 mmc1_sdcd mmc1_sdcd 0 i pd pd 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_69 3 ioz 0 k3 mmc1_sdwp mmc1_sdwp 0 i pd pd 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_70 3 ioz 0 h3 mmc1_dat0 mmc1_dat0 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_66 3 ioz 0 f5 mmc1_dat1 mmc1_dat1 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_65 3 ioz 0 j5 mmc1_dat2 mmc1_dat2 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_64 3 ioz 0 h4 mmc1_dat3 mmc1_dat3 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_63 3 ioz 0 e3 mmc1_dat4 mmc1_dat4 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_62 3 ioz 0 g4 mmc1_dat5 mmc1_dat5 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_61 3 ioz 0 f4 mmc1_dat6 mmc1_dat6 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_60 3 ioz 0 g5 mmc1_dat7 mmc1_dat7 0 ioz pu pu 3 1.8 v dvdd18 yes lvcmos pu/pd 0 gpio0_59 3 ioz 0 w1 nmin nmin 0 i pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd l1 obsclk_n obsclk_n 0 o 0 1.8 v dvdd18 lvds k1 obsclk_p obsclk_p 0 o 0 1.8 v dvdd18 lvds n5 obspll_lock obspll_lock 0 oz pd pd 0 1.8 v dvdd18 yes lvcmos pu/pd f2 pcie_clk_n pcie_clk_n no 0 i 0 1.1 v dvdd18 cml advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 25 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] g2 pcie_clk_p pcie_clk_p no 0 i 0 1.1 v dvdd18 cml h7 pcie_refres pcie_refres no 0 a 0 na n/a analog d1 pcie_rxn0 pcie_rxn0 no 0 i 0 1.1 v dvdd18 cml e1 pcie_rxp0 pcie_rxp0 no 0 i 0 1.1 v dvdd18 cml h1 pcie_txn0 pcie_txn0 no 0 o 0 1.1 v dvdd18 cml g1 pcie_txp0 pcie_txp0 no 0 o 0 1.1 v dvdd18 cml aa3 porn porn 0 i 0 3.3 v dvdd33 yes lvcmos a10 pr0_mdio_data pr0_mdio_data no 0 ioz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_04 3 ioz 0 mcasp0_axr3 4 ioz 0 c10 pr0_mdio_mdclk pr0_mdio_mdclk no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_05 3 ioz 0 mcasp0_axr4 4 ioz 0 e18 pr1_mdio_data pr1_mdio_data no 0 ioz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_46 3 ioz 0 ecap0_in_apwm0_out 4 ioz 0 d18 pr1_mdio_mdclk pr1_mdio_mdclk no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_47 3 ioz 0 ecap1_in_apwm1_out 4 ioz 0 d3 pr0_pru0_gpo0 pr0_pru0_gpo0 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi0 no 1 i 0 gpio0_108 3 ioz 0 mcasp2_axr0 4 ioz 0 a2 pr0_pru0_gpo1 pr0_pru0_gpo1 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi1 no 1 i 0 gpio0_109 3 ioz 0 mcasp2_axr1 4 ioz 0 e4 pr0_pru0_gpo2 pr0_pru0_gpo2 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi2 no 1 i 0 gpio0_110 3 ioz 0 mcasp2_axr2 4 ioz 0 b1 pr0_pru0_gpo3 pr0_pru0_gpo3 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi3 no 1 i 0 gpio0_111 3 ioz 0 mcasp2_axr3 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 26 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a3 pr0_pru0_gpo4 pr0_pru0_gpo4 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi4 no 1 i 0 gpio0_112 3 ioz 0 mcasp2_axr4 4 ioz 0 e5 pr0_pru0_gpo5 pr0_pru0_gpo5 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi5 no 1 i 0 gpio0_113 3 ioz 0 mcasp2_axr5 4 ioz 0 b2 pr0_pru0_gpo6 pr0_pru0_gpo6 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi6 no 1 i 0 gpio0_114 3 ioz 0 mcasp2_aclkr 4 ioz 0 d4 pr0_pru0_gpo7 pr0_pru0_gpo7 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi7 no 1 i 0 gpio0_115 3 ioz 0 mcasp2_afsr 4 ioz 0 e6 pr0_pru0_gpo8 pr0_pru0_gpo8 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi8 no 1 i 0 gpio0_116 3 ioz 0 mcasp2_ahclkr 4 ioz 0 c2 pr0_pru0_gpo9 pr0_pru0_gpo9 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi9 no 1 i 0 xrefclk 2 i 0 gpio0_117 3 ioz 0 mcasp2_amute 4 ioz 0 c3 pr0_pru0_gpo10 pr0_pru0_gpo10 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi10 no 1 i 0 gpio0_118 3 ioz 0 mcasp2_afsx 4 ioz 0 d5 pr0_pru0_gpo11 pr0_pru0_gpo11 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi11 no 1 i 0 gpio0_119 3 ioz 0 mcasp2_ahclkx 4 ioz 0 b3 pr0_pru0_gpo12 pr0_pru0_gpo12 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi12 no 1 i 0 gpio0_120 3 ioz 0 mcasp2_aclkx 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 27 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b4 pr0_pru0_gpo13 pr0_pru0_gpo13 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi13 no 1 i 0 gpio0_121 3 ioz 0 mcasp1_aclkr 4 ioz 0 a4 pr0_pru0_gpo14 pr0_pru0_gpo14 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi14 no 1 i 0 gpio0_122 3 ioz 0 mcasp1_afsr 4 ioz 0 e7 pr0_pru0_gpo15 pr0_pru0_gpo15 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi15 no 1 i 0 gpio0_123 3 ioz 0 mcasp1_ahclkr 4 ioz 0 d6 pr0_pru0_gpo16 pr0_pru0_gpo16 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi16 no 1 i 0 gpio0_124 3 ioz 0 mcasp1_aclkx 4 ioz 0 c4 pr0_pru0_gpo17 pr0_pru0_gpo17 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi17 no 1 i 0 pr1_uart0_rxd no 2 i 0 gpio0_125 3 ioz 0 mcasp1_afsx 4 ioz 0 c5 pr0_pru0_gpo18 pr0_pru0_gpo18 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi18 no 1 i 0 pr0_edc_latch0_in no 2 i 0 gpio0_126 3 ioz 0 mcasp1_ahclkx 4 ioz 0 a5 pr0_pru0_gpo19 pr0_pru0_gpo19 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru0_gpi19 no 1 i 0 pr0_edc_sync0_out no 2 oz 0 gpio0_127 3 ioz 0 mcasp1_amute 4 ioz 0 b5 pr0_pru1_gpo0 pr0_pru1_gpo0 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi0 no 1 i 0 gpio0_128 3 ioz 0 mcasp1_axr0 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 28 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b6 pr0_pru1_gpo1 pr0_pru1_gpo1 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi1 no 1 i 0 gpio0_129 3 ioz 0 mcasp1_axr1 4 ioz 0 d7 pr0_pru1_gpo2 pr0_pru1_gpo2 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi2 no 1 i 0 gpio0_130 3 ioz 0 mcasp1_axr2 4 ioz 0 a6 pr0_pru1_gpo3 pr0_pru1_gpo3 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi3 no 1 i 0 gpio0_131 3 ioz 0 mcasp1_axr3 4 ioz 0 c6 pr0_pru1_gpo4 pr0_pru1_gpo4 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi4 no 1 i 0 gpio0_132 3 ioz 0 mcasp1_axr4 4 ioz 0 e8 pr0_pru1_gpo5 pr0_pru1_gpo5 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi5 no 1 i 0 gpio0_133 3 ioz 0 mcasp1_axr5 4 ioz 0 a7 pr0_pru1_gpo6 pr0_pru1_gpo6 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi6 no 1 i 0 gpio0_134 3 ioz 0 mcasp1_axr6 4 ioz 0 d8 pr0_pru1_gpo7 pr0_pru1_gpo7 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi7 no 1 i 0 gpio0_135 3 ioz 0 mcasp1_axr7 4 ioz 0 f9 pr0_pru1_gpo8 pr0_pru1_gpo8 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi8 no 1 i 0 gpio0_136 3 ioz 0 mcasp1_axr8 4 ioz 0 b7 pr0_pru1_gpo9 pr0_pru1_gpo9 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi9 no 1 i 0 gpio0_137 3 ioz 0 mcasp1_axr9 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 29 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] c7 pr0_pru1_gpo10 pr0_pru1_gpo10 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi10 no 1 i 0 gpio0_138 3 ioz 0 mcasp0_amute 4 ioz 0 e9 pr0_pru1_gpo11 pr0_pru1_gpo11 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi11 no 1 i 0 gpio0_139 3 ioz 0 mcasp0_aclkr 4 ioz 0 a8 pr0_pru1_gpo12 pr0_pru1_gpo12 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi12 no 1 i 0 gpio0_140 3 ioz 0 mcasp0_afsr 4 ioz 0 b8 pr0_pru1_gpo13 pr0_pru1_gpo13 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi13 no 1 i 0 gpio0_141 3 ioz 0 mcasp0_ahclkr 4 ioz 0 d9 pr0_pru1_gpo14 pr0_pru1_gpo14 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi14 no 1 i 0 gpio0_142 3 ioz 0 mcasp0_aclkx 4 ioz 0 c8 pr0_pru1_gpo15 pr0_pru1_gpo15 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi15 no 1 i 0 gpio0_143 3 ioz 0 mcasp0_afsx 4 ioz 0 c9 pr0_pru1_gpo16 pr0_pru1_gpo16 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi16 no 1 i 0 gpio1_00 3 ioz 0 mcasp0_ahclkx 4 ioz 0 b9 pr0_pru1_gpo17 pr0_pru1_gpo17 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi17 no 1 i 0 pr1_uart0_txd no 2 oz 0 gpio1_01 3 ioz 0 mcasp0_axr0 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 30 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a9 pr0_pru1_gpo18 pr0_pru1_gpo18 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi18 no 1 i 0 pr0_edc_latch1_in no 2 i 0 gpio1_02 3 ioz 0 mcasp0_axr1 4 ioz 0 b10 pr0_pru1_gpo19 pr0_pru1_gpo19 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_pru1_gpi19 no 1 i 0 pr0_edc_sync1_out no 2 oz 0 gpio1_03 3 ioz 0 mcasp0_axr2 4 ioz 0 e10 pr1_pru0_gpo0 pr1_pru0_gpo0 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi0 no 1 i 0 gpio1_06 3 ioz 0 mcasp0_axr5 4 ioz 0 d10 pr1_pru0_gpo1 pr1_pru0_gpo1 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi1 no 1 i 0 gpio1_07 3 ioz 0 mcasp0_axr6 4 ioz 0 f10 pr1_pru0_gpo2 pr1_pru0_gpo2 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi2 no 1 i 0 gpio1_08 3 ioz 0 mcasp0_axr7 4 ioz 0 c11 pr1_pru0_gpo3 pr1_pru0_gpo3 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi3 no 1 i 0 gpio1_09 3 ioz 0 mcasp0_axr8 4 ioz 0 d11 pr1_pru0_gpo4 pr1_pru0_gpo4 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi4 no 1 i 0 mmc0_pow 2 oz 0 gpio1_10 3 ioz 0 mcasp0_axr9 4 ioz 0 e11 pr1_pru0_gpo5 pr1_pru0_gpo5 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi5 no 1 i 0 mmc0_sdwp 2 i 0 gpio1_11 3 ioz 0 mcasp0_axr10 4 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 31 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] f12 pr1_pru0_gpo6 pr1_pru0_gpo6 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi6 no 1 i 0 mmc0_sdcd 2 i 0 gpio1_12 3 ioz 0 mcasp0_axr11 4 ioz 0 e12 pr1_pru0_gpo7 pr1_pru0_gpo7 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi7 no 1 i 0 mmc0_dat7 2 ioz 0 gpio1_13 3 ioz 0 mcasp0_axr12 4 ioz 0 c12 pr1_pru0_gpo8 pr1_pru0_gpo8 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi8 no 1 i 0 mmc0_dat6 2 ioz 0 gpio1_14 3 ioz 0 mcasp0_axr13 4 ioz 0 b11 pr1_pru0_gpo9 pr1_pru0_gpo9 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi9 no 1 i 0 mmc0_dat5 2 ioz 0 gpio1_15 3 ioz 0 mcasp0_axr14 4 ioz 0 b12 pr1_pru0_gpo10 pr1_pru0_gpo10 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi10 no 1 i 0 mmc0_dat4 2 ioz 0 gpio1_16 3 ioz 0 mcasp0_axr15 4 ioz 0 a12 pr1_pru0_gpo11 pr1_pru0_gpo11 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi11 no 1 i 0 mmc0_dat3 2 ioz 0 gpio1_17 3 ioz 0 a11 pr1_pru0_gpo12 pr1_pru0_gpo12 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi12 no 1 i 0 mmc0_dat2 2 ioz 0 gpio1_18 3 ioz 0 a13 pr1_pru0_gpo13 pr1_pru0_gpo13 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi13 no 1 i 0 mmc0_dat1 2 ioz 0 gpio1_19 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 32 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] b13 pr1_pru0_gpo14 pr1_pru0_gpo14 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi14 no 1 i 0 mmc0_dat0 2 ioz 0 gpio1_20 3 ioz 0 f13 pr1_pru0_gpo15 pr1_pru0_gpo15 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi15 no 1 i 0 mmc0_clk 2 ioz 0 gpio1_21 3 ioz 0 c13 pr1_pru0_gpo16 pr1_pru0_gpo16 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi16 no 1 i 0 mmc0_cmd 2 ioz 0 gpio1_22 3 ioz 0 e13 pr1_pru0_gpo17 pr1_pru0_gpo17 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi17 no 1 i 0 gpio1_23 3 ioz 0 ehrpwm_tzn4 4 i 0 ehrpwm_soca 5 oz 0 d12 pr1_pru0_gpo18 pr1_pru0_gpo18 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi18 no 1 i 0 pr1_edc_latch0_in no 2 i 0 gpio1_24 3 ioz 0 ehrpwm4_a 4 ioz 0 d13 pr1_pru0_gpo19 pr1_pru0_gpo19 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru0_gpi19 no 1 i 0 pr1_edc_sync0_out no 2 oz 0 gpio1_25 3 ioz 0 ehrpwm4_b 4 ioz 0 a14 pr1_pru1_gpo0 pr1_pru1_gpo0 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi0 no 1 i 0 gpio1_26 3 ioz 0 b14 pr1_pru1_gpo1 pr1_pru1_gpo1 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi1 no 1 i 0 gpio1_27 3 ioz 0 c14 pr1_pru1_gpo2 pr1_pru1_gpo2 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi2 no 1 i 0 gpio1_28 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 33 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] e14 pr1_pru1_gpo3 pr1_pru1_gpo3 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi3 no 1 i 0 gpio1_29 3 ioz 0 d14 pr1_pru1_gpo4 pr1_pru1_gpo4 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi4 no 1 i 0 gpio1_30 3 ioz 0 a15 pr1_pru1_gpo5 pr1_pru1_gpo5 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi5 no 1 i 0 gpio1_31 3 ioz 0 f14 pr1_pru1_gpo6 pr1_pru1_gpo6 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi6 no 1 i 0 gpio1_32 3 ioz 0 b15 pr1_pru1_gpo7 pr1_pru1_gpo7 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi7 no 1 i 0 gpio1_33 3 ioz 0 c15 pr1_pru1_gpo8 pr1_pru1_gpo8 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi8 no 1 i 0 gpio1_34 3 ioz 0 d15 pr1_pru1_gpo9 pr1_pru1_gpo9 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi9 no 1 i 0 mcbsp_dr 2 i 0 gpio1_35 3 ioz 0 a16 pr1_pru1_gpo10 pr1_pru1_gpo10 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi10 no 1 i 0 mcbsp_dx 2 oz 0 gpio1_36 3 ioz 0 e15 pr1_pru1_gpo11 pr1_pru1_gpo11 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi11 no 1 i 0 mcbsp_fsx 2 ioz 0 gpio1_37 3 ioz 0 b16 pr1_pru1_gpo12 pr1_pru1_gpo12 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi12 no 1 i 0 mcbsp_clkx 2 ioz 0 gpio1_38 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 34 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] c16 pr1_pru1_gpo13 pr1_pru1_gpo13 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi13 no 1 i 0 mcbsp_fsr 2 ioz 0 gpio1_39 3 ioz 0 d17 pr1_pru1_gpo14 pr1_pru1_gpo14 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi14 no 1 i 0 mcbsp_clkr 2 ioz 0 gpio1_40 3 ioz 0 c18 pr1_pru1_gpo15 pr1_pru1_gpo15 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi15 no 1 i 0 gpio1_41 3 ioz 0 d16 pr1_pru1_gpo16 pr1_pru1_gpo16 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi16 no 1 i 0 gpio1_42 3 ioz 0 f16 pr1_pru1_gpo17 pr1_pru1_gpo17 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi17 no 1 i 0 gpio1_43 3 ioz 0 ehrpwm_tzn5 4 i 0 ehrpwm_socb 5 oz 0 e17 pr1_pru1_gpo18 pr1_pru1_gpo18 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi18 no 1 i 0 pr1_edc_latch1_in no 2 i 0 gpio1_44 3 ioz 0 ehrpwm5_a 4 ioz 0 e16 pr1_pru1_gpo19 pr1_pru1_gpo19 no 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_pru1_gpi19 no 1 i 0 pr1_edc_sync1_out no 2 oz 0 gpio1_45 3 ioz 0 ehrpwm5_b 4 ioz 0 k25 qspi_clk qspi_clk 0 oz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_58 3 ioz 0 j25 qspi_csn0 qspi_csn0 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_64 3 ioz 0 h23 qspi_csn1 qspi_csn1 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 clkout 1 oz 0 gpio1_65 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 35 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] h22 qspi_csn2 qspi_csn2 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 dcan1_tx 1 oz 0 pr1_uart0_ctsn no 2 i 0 gpio1_66 3 ioz 0 usb0_ext_trigger no 4 i 0 h21 qspi_csn3 qspi_csn3 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 1 dcan1_rx 1 i 1 pr1_uart0_rtsn no 2 oz 1 gpio1_67 3 ioz 1 usb1_ext_trigger 4 i 1 j23 qspi_d0 qspi_d0 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_60 3 ioz 0 j22 qspi_d1 qspi_d1 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_61 3 ioz 0 j21 qspi_d2 qspi_d2 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_62 3 ioz 0 j24 qspi_d3 qspi_d3 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_63 3 ioz 0 k24 qspi_rclk qspi_rclk 0 i pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_59 3 ioz 0 w2 resetfulln resetfulln 0 i pu 0 3.3 v dvdd33 yes lvcmos pu/pd w3 resetn resetn 0 i pu 0 3.3 v dvdd33 yes lvcmos pu/pd y2 resetstatn resetstatn 0 o drive 0 (off) drive 0 (off) 0 3.3 v dvdd33 yes lvcmos d24 rmii_refclk rmii_refclk 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_ecap0_ecap_syncout no 2 oz 0 m2 spi0_clk spi0_clk 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 n4 spi0_simo spi0_simo 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 m1 spi0_somi spi0_somi 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 n2 spi1_clk spi1_clk 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 p2 spi1_simo spi1_simo 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 n1 spi1_somi spi1_somi 0 ioz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd 0 r2 spi2_clk spi2_clk 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_103 3 ioz 0 r3 spi2_simo spi2_simo 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_105 3 ioz 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 36 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] r4 spi2_somi spi2_somi 0 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_104 3 ioz 0 e24 spi3_clk spi3_clk 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_uart0_txd no 2 oz 0 gpio0_88 3 ioz 0 f24 spi3_simo spi3_simo 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_uart0_rtsn no 2 oz 0 gpio0_90 3 ioz 0 f25 spi3_somi spi3_somi 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr0_uart0_ctsn no 2 i 0 gpio0_89 3 ioz 0 m3 spi0_scsn0 spi0_scsn0 0 ioz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 1 m4 spi0_scsn1 spi0_scsn1 0 ioz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_99 3 ioz 0 p1 spi1_scsn0 spi1_scsn0 0 ioz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 1 n3 spi1_scsn1 spi1_scsn1 0 ioz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_100 3 ioz 0 p3 spi2_scsn0 spi2_scsn0 0 ioz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_101 3 ioz 0 p4 spi2_scsn1 spi2_scsn1 0 ioz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio0_102 3 ioz 0 c24 spi3_scsn0 spi3_scsn0 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 1 pr0_ecap0_ecap_capin_apwm_ o no 2 ioz 1 gpio0_86 3 ioz 1 e25 spi3_scsn1 spi3_scsn1 1 ioz pd pd 3 3.3 v dvdd33 yes lvcmos pu/pd 1 pr0_uart0_rxd no 2 i 1 gpio0_87 3 ioz 1 m21 sysclkout sysclkout 0 oz pd pd 0 3.3 v dvdd33 yes lvcmos pu/pd r1 sysclksel sysclksel 0 i 0 3.3 v dvdd33 yes lvcmos ac25 sysclk_n sysclk_n 0 i 0 1.8 v dvdd18 lvds ad25 sysclk_p sysclk_p 0 i 0 1.8 v dvdd18 lvds ac19 sysosc_in sysosc_in 0 i 0 1.8 v dvdd18 analog ae19 sysosc_out sysosc_out 0 o 0 1.8 v dvdd18 analog l3 tck tck 0 i pu 0 3.3 v dvdd33 yes lvcmos pu/pd l5 tdi tdi 0 i pu 0 3.3 v dvdd33 yes lvcmos pu/pd k5 tdo tdo 0 oz pu off 0 3.3 v dvdd33 lvcmos pu/pd advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 37 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] k4 tms tms 0 i pu 0 3.3 v dvdd33 yes lvcmos pu/pd l4 trstn trstn 0 i pd 0 3.3 v dvdd33 yes lvcmos pu/pd t2 uart0_ctsn uart0_ctsn 0 i pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 0 timi0 1 i 0 gpio0_106 3 ioz 0 u1 uart0_rtsn uart0_rtsn 0 oz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 0 timo0 1 oz 0 gpio0_107 3 ioz 0 t4 uart0_rxd uart0_rxd 0 i pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd 1 t1 uart0_txd uart0_txd 0 oz pu pu 0 3.3 v dvdd33 yes lvcmos pu/pd u2 uart1_ctsn uart1_ctsn 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_50 3 ioz 0 u4 uart1_rtsn uart1_rtsn 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_51 3 ioz 0 t3 uart1_rxd uart1_rxd 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_48 3 ioz 0 t5 uart1_txd uart1_txd 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 gpio1_49 3 ioz 0 d22 uart2_ctsn uart2_ctsn 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_edio_data1 no 1 ioz 0 uart0_dtrn 2 oz 0 gpio1_54 3 ioz 0 cpts_ts_sync 4 oz 0 c21 uart2_rtsn uart2_rtsn 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_edio_data0 no 1 ioz 0 uart0_rin 2 i 0 gpio1_55 3 ioz 0 cpts_ts_comp 4 oz 0 e21 uart2_rxd uart2_rxd 0 i pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_edio_data3 no 1 ioz 0 uart0_dcdn 2 i 0 gpio1_52 3 ioz 0 cpts_hw1_tspush 4 i 0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 38 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] d21 uart2_txd uart2_txd 0 oz pu pu 3 3.3 v dvdd33 yes lvcmos pu/pd 0 pr1_edio_data2 no 1 ioz 0 uart0_dsrn 2 i 0 gpio1_53 3 ioz 0 cpts_hw2_tspush 4 i 0 b18 usb0_dm usb0_dm no 0 io 0 3.3 v dvdd33_usb usb0_phy a18 usb0_dp usb0_dp no 0 io 0 3.3 v dvdd33_usb usb0_phy e19 usb0_drvvbus usb0_drvvbus no 0 oz pd pd 0 3.3 v dvdd33_usb yes lvcmos pu/pd a19 usb0_id usb0_id no 0 a 0 3.3 v dvdd33_usb usb0_phy c19 usb0_txrtune_rkelvin usb0_txrtune_rkelvin no 0 a usb0_phy b19 usb0_vbus usb0_vbus no 0 a 0 5.0 v n/a usb0_phy d19 usb0_xo usb0_xo no 0 i 0 1.8 v usb0 usb0_phy a20 usb1_dm usb1_dm 0 io 0 3.3 v dvdd33_usb usb1_phy b20 usb1_dp usb1_dp 0 io 0 3.3 v dvdd33_usb usb1_phy b21 usb1_drvvbus usb1_drvvbus 0 oz pd pd 0 3.3 v dvdd33_usb yes lvcmos pu/pd e20 usb1_id usb1_id 0 a 0 3.3 v dvdd33_usb usb1_phy d20 usb1_txrtune_rkelvin usb1_txrtune_rkelvin 0 a usb1_phy a21 usb1_vbus usb1_vbus 0 a 0 5.0 v n/a usb1_phy c20 usb1_xo usb1_xo 0 i 0 1.8 v dvdd18 usb1_phy k7 vddahv vddahv pwr y21 vpp vpp pwr w21 vpp2 vpp2 pwr advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 39 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-1. pin attributes (continued) ball number [1] ball name [2] signal name [3] pn [4] muxmode [5] type [6] ball reset state [7] ball reset rel. state [8] ball reset rel. muxmode [9] i/o voltage value [10] power [11] hys [12] buffer type [13] pull up/down type [14] dsis [15] a1, a25, ad14, ad8, ae1, ae11, ae18, ae25, ae5, c1, e2, e22, f1, f20, f3, f6, f8, g11, g13, g15, g17, g19, g21, g7, g9, h10, h12, h14, h16, h18, h20, h6, h8, j1, j11, j13, j15, j17, j19, j7, j9, k10, k12, k14, k16, k18, k20, k6, k8, l11, l13, l15, l17, l19, l7, l9, m10, m12, m14, m16, m18, m20, m6, m8, n11, n13, n15, n17, n19, n21, n7, n9, p10, p12, p14, p16, p18, p20, p6, p8, r11, r13, r15, r17, r19, r23, r7, r9, t10, t12, t14, t16, t18, t20, t6, t8, u11, u13, u15, u17, u19, u7, u9, v10, v12, v14, v16, v18, v20, v8, w11, w13, w15, w17, w7, w9, y10, y23 vss vss gnd b17 vss_osc_audio vss_osc_audio gnd ad19 vss_osc_sys vss_osc_sys gnd advance information
40 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated the following list describes the table column headers: 1. ball number: ball numbers on the bottom side associated with each signal on the bottom. 2. ball name: mechanical name from package device (name is taken from muxmode 0). 3. signal name: names of signals multiplexed on each ball (also notice that the name of the ball is the signal name in muxmode 0). note table 4-1 , pin attributes , does not take into account the subsystem multiplexing signals. subsystem multiplexing signals are described in section 4.3 , signal descriptions . 4. pn: not applicable. 5. muxmode: multiplexing mode number: a. muxmode 0 is the primary muxmode. the primary muxmode is not necessarily the default muxmode. note the default muxmode is the mode at the release of the reset; also see the ball reset rel. muxmode column. b. muxmode 1 through 5 are possible muxmodes for alternate functions. on each pin, some muxmodes are effectively used for alternate functions, while some muxmodes are not used. only muxmode values which correspond to defined functions should be used. c. bootstrap are special configuration pins, latched on rising edge of porn / resetfulln. these are not programable muxmode. d. an empty box means not applicable. 6. type: signal type and direction: ? i = input ? o = output ? io = input or output ? iod = input or open-drain output ? ioz = input or three-state output ? oz = three-state output ? a = analog ? pwr = power ? gnd = ground ? cap = ldo capacitor. 7. ball reset state: the state of the terminal at power-on reset: ? drive 0 (off): the buffer drives v ol (pulldown or pullup resistor not activated). ? drive 1 (off): the buffer drives v oh (pulldown or pullup resistor not activated). ? off: high-impedance ? pd: high-impedance with an active pulldown resistor ? pu: high-impedance with an active pullup resistor ? an empty box means not applicable. 8. ball reset rel. state: the state of the terminal at the deactivation of the rstoutn signal. ? drive 0 (off): the buffer drives v ol (pulldown or pullup resistor not activated). ? drive 1 (off): the buffer drives v oh (pulldown or pullup resistor not activated). ? drive clk (off): the buffer drives a toggling clock (pulldown or pullup resistor not activated). ? off: high-impedance ? pd: high-impedance with an active pulldown resistor ? pu: high-impedance with an active pullup resistor ? an empty box means not applicable. advance information
41 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated for more information on the core_pwron_ret_rst reset signal and its reset sources, see chapter device configuration of the device trm. 9. ball reset rel. muxmode: this muxmode is automatically configured at the release of the rstoutn signal. an empty box means not applicable. 10. i/o voltage value : this column describes the io voltage value (the corresponding power supply). an empty box means not applicable. 11. power: the voltage supply that powers the terminal io buffers. an empty box means not applicable. 12. hys: indicates if the input buffer has hysteresis: ? yes: with hysteresis ? no: without hysteresis an empty box means no. for more information, see the hysteresis values in section 5.7 , electrical characteristics . 13. buffer type: this column describes the associated output buffer type an empty box means not applicable. for drive strength of the associated output buffer, refer to section 5.7 , electrical characteristics . 14. pull up/down type: indicates the presence of an internal pullup or pulldown resistor. pullup and pulldown resistors can be enabled or disabled via software. ? pu: internal pullup ? pd: internal pulldown ? pu/pd: internal pullup and pulldown ? an empty box means no pull. 15. dsis: the deselected input state (dsis) indicates the state driven on the peripheral input (logic "0", logic "1", or "pin" level) when the peripheral pin function is not selected by any of the pincntlx registers. ? 0: logic 0 driven on the input signal port of the peripheral. ? 1: logic 1 driven on the input signal port of the peripheral. ? an empty box means not applicable. note configuring two pins to the same input signal is not supported as it can yield unexpected results. this can be easily prevented with the proper software configuration (hiz mode is not an input signal). note when a pad is set into a multiplexing mode that is not defined by pin multiplexing, behavior of that pad is undefined, which must be avoided. 4.3 signal descriptions many signals are available on multiple pins, according to the software configuration of the pin multiplexing options. the following list describes the column headers: 1. signal name: the name of the signal passing through the pin. advance information
42 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated note in table 4-1 and table 4-28 are not described the subsystem multiplexing signals. 2. description: description of the signal 3. pin type: signal direction and type: ? i = input ? o = output ? io = input or output ? iod = input or open-drain output ? ioz = input or three-state output ? oz = three-state output ? a = analog ? pwr = power ? gnd = ground ? cap = ldo capacitor 4. aby ball: associated balls bottom for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. 4.3.1 dss table 4-2. dss signal descriptions signal name [1] description [2] pin type [3] aby ball [4] dss_data0 dss data output oz v22 dss_data1 dss data output oz u21 dss_data2 dss data output oz w22 dss_data3 dss data output oz v23 dss_data4 dss data output oz u23 dss_data5 dss data output oz v24 dss_data6 dss data output oz t21 dss_data7 dss data output oz u22 dss_data8 dss data output oz t22 dss_data9 dss data output oz r21 dss_data10 dss data output oz u24 dss_data11 dss data output oz v25 dss_data12 dss data output oz t24 dss_data13 dss data output oz p21 dss_data14 dss data output oz u25 dss_data15 dss data output oz r22 dss_data16 dss data output oz p23 dss_data17 dss data output oz r24 dss_data18 dss data output oz n22 dss_data19 dss data output oz t25 dss_data20 dss data output oz n24 dss_data21 dss data output oz p24 dss_data22 dss data output oz p25 dss_data23 dss data output oz n23 dss_de dss data enable output oz m25 advance information
43 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-2. dss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] dss_fid dss field id output. this signal is not used for embedded sync modes oz l25 dss_hsync dss horizontal sync output. this signal is not used for embedded sync modes oz p22 dss_pclk dss clock output oz n25 dss_vsync dss vertical sync output. this signal is not used for embedded sync modes oz r25 dss rfbi mode dss_rfbi_a0 rfbi a0 indicate the status of the data: command or data (polarity is programmable) oz l25 dss_rfbi_csn0 rfbi lcd chip select 0 (polarity is programmable) oz p23 dss_rfbi_csn1 rfbi lcd chip select 1 (polarity is programmable) oz r24 dss_rfbi_data0 rfbi data read/write to lcd panel clock reference: asynchronous ioz v22 dss_rfbi_data1 rfbi data read/write to lcd panel clock reference: asynchronous ioz u21 dss_rfbi_data2 rfbi data read/write to lcd panel clock reference: asynchronous ioz w22 dss_rfbi_data3 rfbi data read/write to lcd panel clock reference: asynchronous ioz v23 dss_rfbi_data4 rfbi data read/write to lcd panel clock reference: asynchronous ioz u23 dss_rfbi_data5 rfbi data read/write to lcd panel clock reference: asynchronous ioz v24 dss_rfbi_data6 rfbi data read/write to lcd panel clock reference: asynchronous ioz t21 dss_rfbi_data7 rfbi data read/write to lcd panel clock reference: asynchronous ioz u22 dss_rfbi_data8 rfbi data read/write to lcd panel clock reference: asynchronous ioz t22 dss_rfbi_data9 rfbi data read/write to lcd panel clock reference: asynchronous ioz r21 dss_rfbi_data10 rfbi data read/write to lcd panel clock reference: asynchronous ioz u24 dss_rfbi_data11 rfbi data read/write to lcd panel clock reference: asynchronous ioz v25 dss_rfbi_data12 rfbi data read/write to lcd panel clock reference: asynchronous ioz t24 dss_rfbi_data13 rfbi data read/write to lcd panel clock reference: asynchronous ioz p21 dss_rfbi_data14 rfbi data read/write to lcd panel clock reference: asynchronous ioz u25 dss_rfbi_data15 rfbi data read/write to lcd panel clock reference: asynchronous ioz r22 dss_rfbi_hsync0 rfbi horizontal synchronization input 0 hsync pulse signals clock reference: asynchronous i p22 dss_rfbi_hsync1 rfbi horizontal synchronization input 1 hsync pulse signals clock reference: asynchronous i n22 dss_rfbi_ren rfbi read enable (polarity is programmable) indicate when a read is on going from the embedded emory in the lcd panel clock reference. oz n25 dss_rfbi_tevsync0 rfbi vertical synchronization input 0 te (tearing effect) pulse signal or the lcd panel vsync (vertical synchronization) clock reference: asynchronous i r25 advance information
44 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-2. dss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] dss_rfbi_tevsync1 rfbi vertical synchronization input 1 te (tearing effect) pulse signal or the lcd panel vsync (vertical synchronization) clock reference: asynchronous i t25 dss_rfbi_wen rfbi lcd write enable (polarity is programmable) oz m25 4.3.2 ddr emif table 4-3. ddr external memory interface signal descriptions signal name [1] description [2] pin type [3] aby ball [4] ddr3_a00 emif address bit 00 output oz ac15 ddr3_a01 emif address bit 01 output oz y15 ddr3_a02 emif address bit 02 output oz ac16 ddr3_a03 emif address bit 03 output oz aa15 ddr3_a04 emif address bit 04 output oz ab16 ddr3_a05 emif address bit 05 output oz ae17 ddr3_a06 emif address bit 06 output oz ac14 ddr3_a07 emif address bit 07 output oz ab15 ddr3_a08 emif address bit 08 output oz ac17 ddr3_a09 emif address bit 09 output oz ab17 ddr3_a10 emif address bit 10 output oz ab14 ddr3_a11 emif address bit 11 output oz aa16 ddr3_a12 emif address bit 12 output oz aa17 ddr3_a13 emif address bit 13 output oz aa12 ddr3_a14 emif address bit 14 output oz y17 ddr3_a15 emif address bit 15 output oz y16 ddr3_ba0 emif bank address 0 output oz aa14 ddr3_ba1 emif bank address 1 output oz ab13 ddr3_ba2 emif bank address 2 output oz ad17 ddr3_casn emif column address strobe output oz ac13 ddr3_cb00 emif ecc check bit 00 input/output ioz aa11 ddr3_cb01 emif ecc check bit 01 input/output ioz ab11 ddr3_cb02 emif ecc check bit 02 input/output ioz ac11 ddr3_cb03 emif ecc check bit 03 input/output ioz ac12 ddr3_cbdqm emif ecc check bits data mask output oz y11 ddr3_cbdqs_n emif ecc check bit data strobe input/output (negative) ioz ad12 ddr3_cbdqs_p emif ecc check bit data strobe input/output (positive) ioz ae12 ddr3_cen0 emif chip enable 0 output (active low) oz ad13 ddr3_cke0 emif clock enable 0 output oz ab18 ddr3_clkout_n0 emif differential clock 0 output (negative) oz ad15 ddr3_clkout_p0 emif differential clock 0 output (positive) oz ae15 ddr3_clkout_n1 emif differential clock 1 output (negative) oz ad16 ddr3_clkout_p1 emif differential clock 1 output (positive) oz ae16 ddr3_d00 emif data bit 00 input/output ioz ad2 ddr3_d01 emif data bit 01 input/output ioz y4 ddr3_d02 emif data bit 02 input/output ioz ac3 ddr3_d03 emif data bit 03 input/output ioz ac2 advance information
45 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-3. ddr external memory interface signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] ddr3_d04 emif data bit 04 input/output ioz ae3 ddr3_d05 emif data bit 05 input/output ioz aa4 ddr3_d06 emif data bit 06 input/output ioz ad3 ddr3_d07 emif data bit 07 input/output ioz ab3 ddr3_d08 emif data bit 08 input/output ioz aa6 ddr3_d09 emif data bit 09 input/output ioz y7 ddr3_d10 emif data bit 10 input/output ioz y6 ddr3_d11 emif data bit 11 input/output ioz ac5 ddr3_d12 emif data bit 12 input/output ioz ab6 ddr3_d13 emif data bit 13 input/output ioz y5 ddr3_d14 emif data bit 14 input/output ioz ac4 ddr3_d15 emif data bit 15 input/output ioz ab5 ddr3_d16 emif data bit 16 input/output ioz ab7 ddr3_d17 emif data bit 17 input/output ioz ab8 ddr3_d18 emif data bit 18 input/output ioz ac7 ddr3_d19 emif data bit 19 input/output ioz aa7 ddr3_d20 emif data bit 20 input/output ioz aa8 ddr3_d21 emif data bit 21 input/output ioz ac6 ddr3_d22 emif data bit 22 input/output ioz ae7 ddr3_d23 emif data bit 23 input/output ioz ad7 ddr3_d24 emif data bit 24 input/output ioz aa10 ddr3_d25 emif data bit 25 input/output ioz ae10 ddr3_d26 emif data bit 26 input/output ioz ad10 ddr3_d27 emif data bit 27 input/output ioz ac10 ddr3_d28 emif data bit 28 input/output ioz ac9 ddr3_d29 emif data bit 29 input/output ioz ab10 ddr3_d30 emif data bit 30 input/output ioz ab9 ddr3_d31 emif data bit 31 input/output ioz y8 ddr3_dqm0 emif data mask 0 output for byte 0 of the 32-bit data bus oz ab4 ddr3_dqm1 emif data mask 1 output for byte 1 of the 32-bit data bus oz aa5 ddr3_dqm2 emif data mask 2 output for byte 2 of the 32-bit data bus oz ac8 ddr3_dqm3 emif data mask 3 output for byte 3 of the 32-bit data bus oz aa9 ddr3_dqs0_n emif differential data strobe 0 negative input/output for byte 0 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ae2 ddr3_dqs0_p emif differential data strobe 0 positive input/output for byte 0 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ad1 ddr3_dqs1_n emif differential data strobe 1 negative input/output for byte 1 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ae4 ddr3_dqs1_p emif differential data strobe 1 positive input/output for byte 1 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ad4 ddr3_dqs2_n emif differential data strobe 2 negative input/output for byte 2 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ad6 advance information
46 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-3. ddr external memory interface signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] ddr3_dqs2_p emif differential data strobe 2 positive input/output for byte 2 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ae6 ddr3_dqs3_n emif differential data strobe 3 negative input/output for byte 3 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ad9 ddr3_dqs3_p emif differential data strobe 3 positive input/output for byte 3 of the 32-bit data bus. this signal is a output to the ddr3l memory when writing and a input when reading. ioz ae9 ddr3_odt0 emif on-die termination output for chip select 0 oz aa13 ddr3_rasn emif row address strobe output oz ae13 ddr3_resetn emif reset output (ddr3l-sdram only) oz y18 ddr3_rzq0 emif calibration resistor. an external 240 ? 1% resistor must be connected between this pin and vss. a w12 ddr3_rzq1 emif calibration resistor. an external 240 ? 1% resistor must be connected between this pin and vss. a v9 ddr3_wen emif write enable output oz y13 ddr_clk_n emif dpll differential reference clock input (negative) i ad24 ddr_clk_p emif dpll differential reference clock input (positive) i ae24 for more information, see section ddr extrenal memory interface (emif) in chapter memory subsystem of the device trm. 4.3.3 gpmc table 4-4. gpmc signal descriptions signal name [1] description [2] pin type [3] aby ball [4] gpmc_a0 gpmc address 0. only used to effectively address 8-bit data nonmultiplexed memories. oz m25 gpmc_a1 gpmc address 1 in a/d nonmultiplexed mode and address 17 in a/d multiplexed mode oz v22 gpmc_a2 gpmc address 2 in a/d nonmultiplexed mode and address 18 in a/d multiplexed mode oz u21 gpmc_a3 gpmc address 3 in a/d nonmultiplexed mode and address 19 in a/d multiplexed mode oz w22 gpmc_a4 gpmc address 4 in a/d nonmultiplexed mode and address 20 in a/d multiplexed mode oz v23 gpmc_a5 gpmc address 5 in a/d nonmultiplexed mode and address 21 in a/d multiplexed mode oz u23 gpmc_a6 gpmc address 6 in a/d nonmultiplexed mode and address 22 in a/d multiplexed mode oz v24 gpmc_a7 gpmc address 7 in a/d nonmultiplexed mode and address 23 in a/d multiplexed mode oz t21 gpmc_a8 gpmc address 8 in a/d nonmultiplexed mode and address 24 in a/d multiplexed mode oz u22 gpmc_a9 gpmc address 9 in a/d nonmultiplexed mode and address 25 in a/d multiplexed mode oz t22 gpmc_a10 gpmc address 10 in a/d nonmultiplexed mode and address 26 in a/d multiplexed mode oz r21 gpmc_a11 gpmc address 11 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz u24 gpmc_a12 gpmc address 12 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz v25 advance information
47 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-4. gpmc signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpmc_a13 gpmc address 13 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz t24 gpmc_a14 gpmc address 14 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz p21 gpmc_a15 gpmc address 15 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz u25 gpmc_a16 gpmc address 16 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz r22 gpmc_a17 gpmc address 17 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz p23 gpmc_a18 gpmc address 18 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz r24 gpmc_a19 gpmc address 19 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz n22 gpmc_a20 gpmc address 20 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz t25 gpmc_a21 gpmc address 21 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz n24 gpmc_a22 gpmc address 22 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz p24 gpmc_a23 gpmc address 23 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz p25 gpmc_a24 gpmc address 24 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz n23 gpmc_a25 gpmc address 25 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz r25 gpmc_a26 gpmc address 26 in a/d nonmultiplexed mode and unused in a/d multiplexed mode oz p22 gpmc_a27 gpmc address 27 in a/d nonmultiplexed mode and address 27 in a/d multiplexed mode oz n25 gpmc_ad0 gpmc data 0 in a/d nonmultiplexed mode and additionally address 1 in a/d multiplexed mode ioz ac21 gpmc_ad1 gpmc data 1 in a/d nonmultiplexed mode and additionally address 2 in a/d multiplexed mode ioz ae20 gpmc_ad2 gpmc data 2 in a/d nonmultiplexed mode and additionally address 3 in a/d multiplexed mode ioz ad22 gpmc_ad3 gpmc data 3 in a/d nonmultiplexed mode and additionally address 4 in a/d multiplexed mode ioz ad20 gpmc_ad4 gpmc data 4 in a/d nonmultiplexed mode and additionally address 5 in a/d multiplexed mode ioz ae21 gpmc_ad5 gpmc data 5 in a/d nonmultiplexed mode and additionally address 6 in a/d multiplexed mode ioz ae22 gpmc_ad6 gpmc data 6 in a/d nonmultiplexed mode and additionally address 7 in a/d multiplexed mode ioz ac20 gpmc_ad7 gpmc data 7 in a/d nonmultiplexed mode and additionally address 8 in a/d multiplexed mode ioz ad21 gpmc_ad8 gpmc data 8 in a/d nonmultiplexed mode and additionally address 9 in a/d multiplexed mode ioz ae23 gpmc_ad9 gpmc data 9 in a/d nonmultiplexed mode and additionally address 10 in a/d multiplexed mode ioz ab20 gpmc_ad10 gpmc data 10 in a/d nonmultiplexed mode and additionally address 11 in a/d multiplexed mode ioz aa20 gpmc_ad11 gpmc data 11 in a/d nonmultiplexed mode and additionally address 12 in a/d multiplexed mode ioz ad23 advance information
48 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-4. gpmc signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpmc_ad12 gpmc data 12 in a/d nonmultiplexed mode and additionally address 13 in a/d multiplexed mode ioz aa21 gpmc_ad13 gpmc data 13 in a/d nonmultiplexed mode and additionally address 14 in a/d multiplexed mode ioz ab21 gpmc_ad14 gpmc data 14 in a/d nonmultiplexed mode and additionally address 15 in a/d multiplexed mode ioz ab22 gpmc_ad15 gpmc data 15 in a/d nonmultiplexed mode and additionally address 16 in a/d multiplexed mode ioz aa22 gpmc_advn_ale gpmc address valid active low or address latch enable oz ac23 gpmc_ben1 gpmc upper-byte enable (active low) oz ab24 gpmc_ben0_cle gpmc lower-byte enable (active low) oz ac24 gpmc_clk (1) gpmc clock output ioz ab23 gpmc_csn0 gpmc chip select 0 (active low) oz ab25 gpmc_csn1 gpmc chip select 1 (active low) oz w24 gpmc_csn2 gpmc chip select 2 (active low) oz w23 gpmc_csn3 gpmc chip select 3 (active low) oz y25 gpmc_dir gpmc direction oz aa25 gpmc_oen_ren gpmc output enable (active low) or read enable oz ac22 gpmc_wait0 gpmc external indication of wait 0 i y24 gpmc_wait1 gpmc external indication of wait 1 i aa24 gpmc_wen gpmc write enable (active low) oz y22 gpmc_wpn gpmc flash write protect (active low) oz w25 (1) this clock signal is implemented as pad loopback inside the device ? the output signal is looped back through the input buffer to serve as the internal reference signal. series termination is required (as close as possible to device pin) to improve signal integrity of the clock input. for more information, see section general-purpose memory controller (gpmc) in chapter memory subsystem of the device trm. 4.3.4 timers table 4-5. timer signal descriptions signal name [1] description [2] pin type [3] aby ball [4] timi0 timer input for timers [4:0] i t2 timi1 timer input for timers [4:0] i w23 timo0 timer output for timers [4:0] oz u1 timo1 timer output for timers [4:0] oz y25 for more information, see section timers in chapter peripherals of the device trm. 4.3.5 i2c table 4-6. i2c signal descriptions signal name [1] description [2] pin type [3] aby ball [4] i2c0_scl i2c0 clock i/o iod u5 i2c0_sda i2c0 data i/o iod w5 i2c1_scl i2c1 clock i/o iod v6 i2c1_sda i2c1 data i/o iod w4 i2c2_scl i2c2 clock i/o iod v5 advance information
49 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-6. i2c signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] i2c2_sda i2c2 data i/o iod v4 for more information, see section inter-ic module (i2c) in chapter peripherals of the device trm. 4.3.6 uart table 4-7. uart signal descriptions signal name [1] description [2] pin type [3] aby ball [4] uart0_ctsn uart0 clear to send (active low) i t2 uart0_dcdn uart0 data carrier detect (active low) i e21 uart0_dsrn uart0 data set ready (active low) i d21 uart0_dtrn uart0 data terminal ready (active low) oz d22 uart0_rin uart0 ring indicator input i c21 uart0_rtsn uart0 request to send (active low) oz u1 uart0_rxd uart0 receive data input i t4 uart0_txd uart0 transmit data output oz t1 uart1_ctsn uart1 clear to send (active low) i u2 uart1_rtsn uart1 request to send (active low) oz u4 uart1_rxd uart1 receive data input i t3 uart1_txd uart1 transmit data output oz t5 uart2_ctsn uart2 clear to send (active low) i d22 uart2_rtsn uart2 request to send (active low) oz c21 uart2_rxd uart2 receive data input for uart mode i e21 uart2_txd uart2 transmit data output oz d21 for more information, see section universal asynchronous receiver/transmitter (uart) in chapter peripherals of the device trm. 4.3.7 spi table 4-8. spi signal descriptions signal name [1] description [2] pin type [3] aby ball [4] spi0_clk (1) spi clock i/o ioz m2 spi0_scsn0 spi chip select i/o (active low) ioz m3 spi0_scsn1 spi chip select i/o (active low) ioz m4 spi0_simo spi data output ioz n4 spi0_somi spi data input ioz m1 spi1_clk (1) spi clock i/o ioz n2 spi1_scsn0 spi chip select i/o (active low) ioz p1 spi1_scsn1 spi chip select i/o (active low) ioz n3 spi1_simo spi data output ioz p2 spi1_somi spi data input ioz n1 spi2_clk (1) spi clock i/o ioz r2 spi2_scsn0 spi chip select i/o (active low) ioz p3 spi2_scsn1 spi chip select i/o (active low) ioz p4 spi2_simo spi data output ioz r3 advance information
50 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-8. spi signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] spi2_somi spi data input ioz r4 spi3_clk (1) spi clock i/o ioz e24 spi3_scsn0 spi chip select i/o (active low) ioz c24 spi3_scsn1 spi chip select i/o (active low) ioz e25 spi3_simo spi data output ioz f24 spi3_somi spi data input ioz f25 (1) this clock signal is implemented as pad loopback inside the device ? the output signal is looped back through the input buffer to serve as the internal reference signal. series termination is required (as close as possible to device pin) to improve signal integrity of the clock input. for more information, see section serial peripheral interface (spi) in chapter peripherals of the device trm. 4.3.8 qspi table 4-9. qspi signal descriptions signal name [1] description [2] pin type [3] aby ball [4] qspi_clk qspi serial clock output oz k25 qspi_csn0 qspi chip select 0 (active low). this pin is used for qspi boot modes. oz j25 qspi_csn1 qspi chip select 1 (active low) oz h23 qspi_csn2 qspi chip select 2 (active low) oz h22 qspi_csn3 qspi chip select 3 (active low) oz h21 qspi_d0 qspi data 0. this pin is output data for all commands and writes. for dual read and quad read modes, it becomes input data pin during read phase. ioz j23 qspi_d1 qspi data 1. input read data in all modes. ioz j22 qspi_d2 qspi data 2. this pin is used only in quad read mode as input data pin during read phase. ioz j21 qspi_d3 qspi data 3. this pin is used only in quad read mode as input data pin during read phase. ioz j24 qspi_rclk qspi return clock input. must be connected from qspi_sclk on pcb. refer to pcb guidelines for qspi. i k24 for more information, see section quad serial peripheral interface (qspi) in chapter peripherals of the device trm. 4.3.9 mcasp table 4-10. mcasp signal descriptions signal name [1] description [2] pin type [3] aby ball [4] mcasp0_aclkr (1) mcasp0 receive bit clock i/o ioz e9 mcasp0_aclkx (1) mcasp0 transmit bit clock i/o ioz d9 mcasp0_afsr mcasp0 receive frame sync i/o ioz a8 mcasp0_afsx mcasp0 transmit frame sync i/o ioz c8 mcasp0_ahclkr mcasp0 receive high-frequency master clock i/o ioz b8 mcasp0_ahclkx mcasp0 transmit high-frequency master clock output oz c9 mcasp0_amute mcasp0 mute ioz c7 mcasp0_axr0 mcasp0 transmit and receive data i/o ioz b9 advance information
51 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-10. mcasp signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] mcasp0_axr1 mcasp0 transmit and receive data i/o ioz a9 mcasp0_axr2 mcasp0 transmit and receive data i/o ioz b10 mcasp0_axr3 mcasp0 transmit and receive data i/o ioz a10 mcasp0_axr4 mcasp0 transmit and receive data i/o ioz c10 mcasp0_axr5 mcasp0 transmit and receive data i/o ioz e10 mcasp0_axr6 mcasp0 transmit and receive data i/o ioz d10 mcasp0_axr7 mcasp0 transmit and receive data i/o ioz f10 mcasp0_axr8 mcasp0 transmit and receive data i/o ioz c11 mcasp0_axr9 mcasp0 transmit and receive data i/o ioz d11 mcasp0_axr10 mcasp0 transmit and receive data i/o ioz e11 mcasp0_axr11 mcasp0 transmit and receive data i/o ioz f12 mcasp0_axr12 mcasp0 transmit and receive data i/o ioz e12 mcasp0_axr13 mcasp0 transmit and receive data i/o ioz c12 mcasp0_axr14 mcasp0 transmit and receive data i/o ioz b11 mcasp0_axr15 mcasp0 transmit and receive data i/o ioz b12 mcasp1_aclkr (1) mcasp1 receive bit clock i/o ioz b4 mcasp1_aclkx (1) mcasp1 transmit bit clock i/o ioz d6 mcasp1_afsr mcasp1 receive frame sync i/o ioz a4 mcasp1_afsx mcasp1 transmit frame sync i/o ioz c4 mcasp1_ahclkr mcasp1 receive high-frequency master clock i/o ioz e7 mcasp1_ahclkx mcasp1 transmit high-frequency master clock output oz c5 mcasp1_amute mcasp1 mute ioz a5 mcasp1_axr0 mcasp1 transmit and receive data i/o ioz b5 mcasp1_axr1 mcasp1 transmit and receive data i/o ioz b6 mcasp1_axr2 mcasp1 transmit and receive data i/o ioz d7 mcasp1_axr3 mcasp1 transmit and receive data i/o ioz a6 mcasp1_axr4 mcasp1 transmit and receive data i/o ioz c6 mcasp1_axr5 mcasp1 transmit and receive data i/o ioz e8 mcasp1_axr6 mcasp1 transmit and receive data i/o ioz a7 mcasp1_axr7 mcasp1 transmit and receive data i/o ioz d8 mcasp1_axr8 mcasp1 transmit and receive data i/o ioz f9 mcasp1_axr9 mcasp1 transmit and receive data i/o ioz b7 mcasp2_aclkr (1) mcasp2 receive bit clock i/o ioz b2 mcasp2_aclkx (1) mcasp2 transmit bit clock i/o ioz b3 mcasp2_afsr mcasp2 receive frame sync i/o ioz d4 mcasp2_afsx mcasp2 transmit frame sync i/o ioz c3 mcasp2_ahclkr mcasp2 receive high-frequency master clock i/o ioz e6 mcasp2_ahclkx mcasp2 transmit high-frequency master clock output oz d5 mcasp2_amute mcasp2 mute ioz c2 mcasp2_axr0 mcasp2 transmit and receive data i/o ioz d3 mcasp2_axr1 mcasp2 transmit and receive data i/o ioz a2 mcasp2_axr2 mcasp2 transmit and receive data i/o ioz e4 mcasp2_axr3 mcasp2 transmit and receive data i/o ioz b1 mcasp2_axr4 mcasp2 transmit and receive data i/o ioz a3 mcasp2_axr5 mcasp2 transmit and receive data i/o ioz e5 advance information
52 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated (1) this clock signal is implemented as pad loopback inside the device ? the output signal is looped back through the input buffer to serve as the internal reference signal. series termination is required (as close as possible to device pin) to improve signal integrity of the clock input. for more information, see section multi-channel audio serial port (mcasp) in chapter peripherals of the device trm. advance information
53 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.10 usb table 4-11. usb signal descriptions signal name [1] description [2] pin type [3] aby ball [4] usb0_dm usb0 differential data signal pair (negative) io b18 usb0_dp usb0 differential data signal pair (positive) io a18 usb0_drvvbus usb0 drive vbus output oz e19 usb0_ext_trigger usb0 external trigger input i h22 usb0_id usb0 identify device, connection to resistor that determines mode of operation a a19 usb0_txrtune_rkelvin usb0 kelvin connection to termination calibration resistor (200 ? 1%) a c19 usb0_vbus usb0 vbus comparator input a b19 usb0_xo usb0 optional phy reference clock input i d19 usb1_dm usb1 differential data signal pair (negative) io a20 usb1_dp usb1 differential data signal pair (positive) io b20 usb1_drvvbus usb1 drive vbus output oz b21 usb1_ext_trigger usb1 external trigger input i h21 usb1_id usb1 identify device pin, determines mode of operation a e20 usb1_txrtune_rkelvin usb1 kelvin connection to termination calibration resistor (200 ? 1%) a d20 usb1_vbus usb1 vbus comparator input a a21 usb1_xo usb1 optional phy reference clock input i c20 for more information, see section universal serial bus subsystem (usb) in chapter peripherals of the device trm. 4.3.11 pciess table 4-12. pciess signal descriptions signal name [1] description [2] pin type [3] aby ball [4] pcie_clk_n pcie clock input (negative) i f2 pcie_clk_p pcie clock input (positive) i g2 pcie_refres pcie serdes reference resistor input (3k 1%) a h7 pcie_rxn0 pcie receive data lane 0 (negative) i d1 pcie_rxp0 pcie receive data lane 0 (positive) i e1 pcie_txn0 pcie transmit data lane 0 (negative) o h1 pcie_txp0 pcie transmit data lane 0 (positive) o g1 for more information, see section peripheral component interconnect express subsystem (pcie ss) in chapter peripherals of the device trm. advance information
54 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.12 dcan table 4-13. dcan signal descriptions signal name [1] description [2] pin type [3] aby ball [4] dcan0_rx dcan0 receive data pin i r5 dcan0_tx dcan0 transmit data pin oz p5 dcan1_rx dcan1 receive data pin i h21 dcan1_tx dcan1 transmit data pin oz h22 for more information, see section dual controller area network (dcan) interface in chapter peripherals of the device trm. 4.3.13 emac table 4-14. emac signal descriptions signal name [1] description [2] pin type [3] aby ball [4] cpts_hw1_tspush cpts hardware time stamp push input 1 i e21 cpts_hw2_tspush cpts hardware time stamp push input 2 i d21 cpts_ts_comp cpts time stamp counter compare output oz c21 cpts_ts_sync cpts time stamp counter bit output oz d22 mdio_clk mdio clock oz u3 mdio_data mdio data ioz v3 mii_col mii collision detect (sense) input i b25 mii_crs mii carrier sense input i g22 mii_rxclk mii receive clock i a22 mii_rxd0 mii receive data 0 i b24 mii_rxd1 mii receive data 1 i c23 mii_rxd2 mii receive data 2 i b23 mii_rxd3 mii receive data 3 i f22 mii_rxdv mii receive data valid input i a24 mii_rxer mii receive data error input i f23 mii_txclk mii transmit clock i c25 mii_txd0 mii transmit data 0 oz g23 mii_txd1 mii transmit data 1 oz g24 mii_txd2 mii transmit data 2 oz g25 mii_txd3 mii transmit data 3 oz d25 mii_txen mii transmit data enable output oz h25 mii_txer mii transmit data error output oz h24 rgmii_rxc rgmii receive clock i a22 rgmii_rxctl rgmii receive control i a24 rgmii_rxd0 rgmii receive data i b24 rgmii_rxd1 rgmii receive data i c23 rgmii_rxd2 rgmii receive data i b23 rgmii_rxd3 rgmii receive data i f22 rgmii_txc rgmii transmit clock ioz c25 rgmii_txctl rgmii transmit enable oz h25 rgmii_txd0 rgmii transmit data oz g23 rgmii_txd1 rgmii transmit data oz g24 rgmii_txd2 rgmii transmit data oz g25 advance information
55 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-14. emac signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] rgmii_txd3 rgmii transmit data oz d25 rmii_crs_dv rmii carrier sense input i g22 rmii_refclk (1) 50-mhz rmii clock. typically sourced from the clkout pin i d24 rmii_rxd0 rmii receive data i b24 rmii_rxd1 rmii receive data i c23 rmii_rxer rmii receive data error input i f23 rmii_txd0 rmii transmit data oz g23 rmii_txd1 rmii transmit data oz g24 rmii_txen rmii transmit data enable output oz h25 (1) this clock signal is implemented as pad loopback inside the device ? the output signal is looped back through the input buffer to serve as the internal reference signal. series termination is required (as close as possible to device pin) to improve signal integrity of the clock input. for more information, see section networking subsystem (nss) , gigabit ethernet mac (emac) subsystem in chapter peripherals of the device trm. 4.3.14 mlb table 4-15. mlb signal descriptions signal name [1] description [2] pin type [3] aby ball [4] mlbp_clk_n media local bus subsystem (mlb) clock input differential pair (negative) i l23 mlbp_clk_p media local bus subsystem (mlb) clock input differential pair (positive) i m23 mlbp_dat_n media local bus subsystem (mlb) data input and output differential pair (negative) io k22 mlbp_dat_p media local bus subsystem (mlb) data input and output differential pair (positive) io k23 mlbp_sig_n media local bus subsystem (mlb) signal input and output differential pair (negative) io m24 mlbp_sig_p media local bus subsystem (mlb) signal input and output differential pair (positive) io l24 mlb_clk media local bus subsystem (mlb) clock input i aa24 mlb_dat media local bus subsystem (mlb) data input and output ioz w24 mlb_sig media local bus subsystem (mlb) signal input and output ioz aa25 for more information, see section media local bus (mlb) in chapter peripherals of the device trm. advance information
56 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.15 mcbsp table 4-16. mcbsp signal descriptions signal name [1] description [2] pin type [3] aby ball [4] mcbsp_clkr mcbsp received serial clock ioz d17 mcbsp_clkx mcbsp transmitted serial clock ioz b16 mcbsp_dr mcbsp received serial data i d15 mcbsp_dx mcbsp transmitted serial data oz a16 mcbsp_fsr mcbsp received frame synchronization ioz c16 mcbsp_fsx mcbsp transmitted frame synchronization ioz e15 for more information, see section multi-channel buffered serial port (mcbsp) in chapter peripherals of the device trm. 4.3.16 mmc/sd table 4-17. mmc/sd signal descriptions signal name [1] description [2] pin type [3] aby ball [4] mmc0_clk (1) mmc0 clock ioz f13 mmc0_cmd mmc0 command ioz c13 mmc0_dat0 mmc0 data bit 0 ioz b13 mmc0_dat1 mmc0 data bit 1 ioz a13 mmc0_dat2 mmc0 data bit 2 ioz a11 mmc0_dat3 mmc0 data bit 3 ioz a12 mmc0_dat4 mmc0 data bit 4 ioz b12 mmc0_dat5 mmc0 data bit 5 ioz b11 mmc0_dat6 mmc0 data bit 6 ioz c12 mmc0_dat7 mmc0 data bit 7 ioz e12 mmc0_pow mmc/sd cards on/off power supply control oz d11 mmc0_sdcd mmc0 card detect i f12 mmc0_sdwp mmc0 write protect i e11 mmc1_clk (1) mmc1 clock ioz j4 mmc1_cmd mmc1 command ioz j2 mmc1_dat0 mmc1 data bit 0 ioz h3 mmc1_dat1 mmc1 data bit 1 ioz f5 mmc1_dat2 mmc1 data bit 2 ioz j5 mmc1_dat3 mmc1 data bit 3 ioz h4 mmc1_dat4 mmc1 data bit 4 ioz e3 mmc1_dat5 mmc1 data bit 5 ioz g4 mmc1_dat6 mmc1 data bit 6 ioz f4 mmc1_dat7 mmc1 data bit 7 ioz g5 mmc1_pow mmc/sd cards on/off power supply control oz k2 mmc1_sdcd mmc1 card detect i j3 mmc1_sdwp mmc1 write protect i k3 (1) this clock signal is implemented as pad loopback inside the device ? the output signal is looped back through the input buffer to serve as the internal reference signal. series termination is required (as closeas possible to device pin) to improve signal integrity of the clock input. for more information, see section multimedia card high speed interface (mmchs) in chapter peripherals of the device trm. advance information
57 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.17 gpio table 4-18. gpio signal descriptions signal name [1] description [2] pin type [3] aby ball [4] gpio0_00 general-purpose input/output ioz ac21 gpio0_01 general-purpose input/output ioz ae20 gpio0_02 general-purpose input/output ioz ad22 gpio0_03 general-purpose input/output ioz ad20 gpio0_04 general-purpose input/output ioz ae21 gpio0_05 general-purpose input/output ioz ae22 gpio0_06 general-purpose input/output ioz ac20 gpio0_07 general-purpose input/output ioz ad21 gpio0_08 general-purpose input/output ioz ae23 gpio0_09 general-purpose input/output ioz ab20 gpio0_10 general-purpose input/output ioz aa20 gpio0_11 general-purpose input/output ioz ad23 gpio0_12 general-purpose input/output ioz aa21 gpio0_13 general-purpose input/output ioz ab21 gpio0_14 general-purpose input/output ioz ab22 gpio0_15 general-purpose input/output ioz aa22 gpio0_16 general-purpose input/output ioz ab23 gpio0_17 general-purpose input/output ioz ac23 gpio0_18 general-purpose input/output ioz ac22 gpio0_19 general-purpose input/output ioz y22 gpio0_100 general-purpose input/output ioz n3 gpio0_101 general-purpose input/output ioz p3 gpio0_102 general-purpose input/output ioz p4 gpio0_103 general-purpose input/output ioz r2 gpio0_104 general-purpose input/output ioz r4 gpio0_105 general-purpose input/output ioz r3 gpio0_106 general-purpose input/output ioz t2 gpio0_107 general-purpose input/output ioz u1 gpio0_108 general-purpose input/output ioz d3 gpio0_109 general-purpose input/output ioz a2 gpio0_110 general-purpose input/output ioz e4 gpio0_111 general-purpose input/output ioz b1 gpio0_112 general-purpose input/output ioz a3 gpio0_113 general-purpose input/output ioz e5 gpio0_114 general-purpose input/output ioz b2 gpio0_115 general-purpose input/output ioz d4 gpio0_116 general-purpose input/output ioz e6 gpio0_117 general-purpose input/output ioz c2 gpio0_118 general-purpose input/output ioz c3 gpio0_119 general-purpose input/output ioz d5 gpio0_120 general-purpose input/output ioz b3 gpio0_121 general-purpose input/output ioz b4 gpio0_122 general-purpose input/output ioz a4 gpio0_123 general-purpose input/output ioz e7 gpio0_124 general-purpose input/output ioz d6 advance information
58 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-18. gpio signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpio0_125 general-purpose input/output ioz c4 gpio0_126 general-purpose input/output ioz c5 gpio0_127 general-purpose input/output ioz a5 gpio0_128 general-purpose input/output ioz b5 gpio0_129 general-purpose input/output ioz b6 gpio0_130 general-purpose input/output ioz d7 gpio0_131 general-purpose input/output ioz a6 gpio0_132 general-purpose input/output ioz c6 gpio0_133 general-purpose input/output ioz e8 gpio0_134 general-purpose input/output ioz a7 gpio0_135 general-purpose input/output ioz d8 gpio0_136 general-purpose input/output ioz f9 gpio0_137 general-purpose input/output ioz b7 gpio0_138 general-purpose input/output ioz c7 gpio0_139 general-purpose input/output ioz e9 gpio0_140 general-purpose input/output ioz a8 gpio0_141 general-purpose input/output ioz b8 gpio0_142 general-purpose input/output ioz d9 gpio0_143 general-purpose input/output ioz c8 gpio0_20 general-purpose input/output ioz ac24 gpio0_21 general-purpose input/output ioz ab24 gpio0_22 general-purpose input/output ioz y24 gpio0_23 general-purpose input/output ioz aa24 gpio0_24 general-purpose input/output ioz w25 gpio0_25 general-purpose input/output ioz aa25 gpio0_26 general-purpose input/output ioz ab25 gpio0_27 general-purpose input/output ioz w24 gpio0_28 general-purpose input/output ioz w23 gpio0_29 general-purpose input/output ioz y25 gpio0_30 general-purpose input/output ioz n23 gpio0_31 general-purpose input/output ioz p25 gpio0_32 general-purpose input/output ioz p24 gpio0_33 general-purpose input/output ioz n24 gpio0_34 general-purpose input/output ioz t25 gpio0_35 general-purpose input/output ioz n22 gpio0_36 general-purpose input/output ioz r24 gpio0_37 general-purpose input/output ioz p23 gpio0_38 general-purpose input/output ioz r22 gpio0_39 general-purpose input/output ioz u25 gpio0_40 general-purpose input/output ioz p21 gpio0_41 general-purpose input/output ioz t24 gpio0_42 general-purpose input/output ioz v25 gpio0_43 general-purpose input/output ioz u24 gpio0_44 general-purpose input/output ioz r21 gpio0_45 general-purpose input/output ioz t22 gpio0_46 general-purpose input/output ioz u22 gpio0_47 general-purpose input/output ioz t21 advance information
59 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-18. gpio signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpio0_48 general-purpose input/output ioz v24 gpio0_49 general-purpose input/output ioz u23 gpio0_50 general-purpose input/output ioz v23 gpio0_51 general-purpose input/output ioz w22 gpio0_52 general-purpose input/output ioz u21 gpio0_53 general-purpose input/output ioz v22 gpio0_54 general-purpose input/output ioz r25 gpio0_55 general-purpose input/output ioz p22 gpio0_56 general-purpose input/output ioz n25 gpio0_57 general-purpose input/output ioz m25 gpio0_58 general-purpose input/output ioz l25 gpio0_59 general-purpose input/output ioz g5 gpio0_60 general-purpose input/output ioz f4 gpio0_61 general-purpose input/output ioz g4 gpio0_62 general-purpose input/output ioz e3 gpio0_63 general-purpose input/output ioz h4 gpio0_64 general-purpose input/output ioz j5 gpio0_65 general-purpose input/output ioz f5 gpio0_66 general-purpose input/output ioz h3 gpio0_67 general-purpose input/output ioz j4 gpio0_68 general-purpose input/output ioz j2 gpio0_69 general-purpose input/output ioz j3 gpio0_70 general-purpose input/output ioz k3 gpio0_71 general-purpose input/output ioz k2 gpio0_72 general-purpose input/output ioz a22 gpio0_73 general-purpose input/output ioz a23 gpio0_74 general-purpose input/output ioz b22 gpio0_75 general-purpose input/output ioz c22 gpio0_76 general-purpose input/output ioz d23 gpio0_77 general-purpose input/output ioz f22 gpio0_78 general-purpose input/output ioz b23 gpio0_79 general-purpose input/output ioz c23 gpio0_80 general-purpose input/output ioz b24 gpio0_81 general-purpose input/output ioz a24 gpio0_82 general-purpose input/output ioz f23 gpio0_83 general-purpose input/output ioz b25 gpio0_84 general-purpose input/output ioz g22 gpio0_85 general-purpose input/output ioz c25 gpio0_86 general-purpose input/output ioz c24 gpio0_87 general-purpose input/output ioz e25 gpio0_88 general-purpose input/output ioz e24 gpio0_89 general-purpose input/output ioz f25 gpio0_90 general-purpose input/output ioz f24 gpio0_91 general-purpose input/output ioz d25 gpio0_92 general-purpose input/output ioz g25 gpio0_93 general-purpose input/output ioz g24 gpio0_94 general-purpose input/output ioz g23 advance information
60 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-18. gpio signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpio0_95 general-purpose input/output ioz h25 gpio0_96 general-purpose input/output ioz h24 gpio0_97 general-purpose input/output ioz v3 gpio0_98 general-purpose input/output ioz u3 gpio0_99 general-purpose input/output ioz m4 gpio1_00 general-purpose input/output ioz c9 gpio1_01 general-purpose input/output ioz b9 gpio1_02 general-purpose input/output ioz a9 gpio1_03 general-purpose input/output ioz b10 gpio1_04 general-purpose input/output ioz a10 gpio1_05 general-purpose input/output ioz c10 gpio1_06 general-purpose input/output ioz e10 gpio1_07 general-purpose input/output ioz d10 gpio1_08 general-purpose input/output ioz f10 gpio1_09 general-purpose input/output ioz c11 gpio1_10 general-purpose input/output ioz d11 gpio1_11 general-purpose input/output ioz e11 gpio1_12 general-purpose input/output ioz f12 gpio1_13 general-purpose input/output ioz e12 gpio1_14 general-purpose input/output ioz c12 gpio1_15 general-purpose input/output ioz b11 gpio1_16 general-purpose input/output ioz b12 gpio1_17 general-purpose input/output ioz a12 gpio1_18 general-purpose input/output ioz a11 gpio1_19 general-purpose input/output ioz a13 gpio1_20 general-purpose input/output ioz b13 gpio1_21 general-purpose input/output ioz f13 gpio1_22 general-purpose input/output ioz c13 gpio1_23 general-purpose input/output ioz e13 gpio1_24 general-purpose input/output ioz d12 gpio1_25 general-purpose input/output ioz d13 gpio1_26 general-purpose input/output ioz a14 gpio1_27 general-purpose input/output ioz b14 gpio1_28 general-purpose input/output ioz c14 gpio1_29 general-purpose input/output ioz e14 gpio1_30 general-purpose input/output ioz d14 gpio1_31 general-purpose input/output ioz a15 gpio1_32 general-purpose input/output ioz f14 gpio1_33 general-purpose input/output ioz b15 gpio1_34 general-purpose input/output ioz c15 gpio1_35 general-purpose input/output ioz d15 gpio1_36 general-purpose input/output ioz a16 gpio1_37 general-purpose input/output ioz e15 gpio1_38 general-purpose input/output ioz b16 gpio1_39 general-purpose input/output ioz c16 gpio1_40 general-purpose input/output ioz d17 gpio1_41 general-purpose input/output ioz c18 advance information
61 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-18. gpio signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] gpio1_42 general-purpose input/output ioz d16 gpio1_43 general-purpose input/output ioz f16 gpio1_44 general-purpose input/output ioz e17 gpio1_45 general-purpose input/output ioz e16 gpio1_46 general-purpose input/output ioz e18 gpio1_47 general-purpose input/output ioz d18 gpio1_48 general-purpose input/output ioz t3 gpio1_49 general-purpose input/output ioz t5 gpio1_50 general-purpose input/output ioz u2 gpio1_51 general-purpose input/output ioz u4 gpio1_52 general-purpose input/output ioz e21 gpio1_53 general-purpose input/output ioz d21 gpio1_54 general-purpose input/output ioz d22 gpio1_55 general-purpose input/output ioz c21 gpio1_56 general-purpose input/output ioz p5 gpio1_57 general-purpose input/output ioz r5 gpio1_58 general-purpose input/output ioz k25 gpio1_59 general-purpose input/output ioz k24 gpio1_60 general-purpose input/output ioz j23 gpio1_61 general-purpose input/output ioz j22 gpio1_62 general-purpose input/output ioz j21 gpio1_63 general-purpose input/output ioz j24 gpio1_64 general-purpose input/output ioz j25 gpio1_65 general-purpose input/output ioz h23 gpio1_66 general-purpose input/output ioz h22 gpio1_67 general-purpose input/output ioz h21 for more information, see section general-purpose interface (gpio) in chapter peripherals of the device trm. advance information
62 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.18 epwm table 4-19. epwm signal descriptions signal name [1] description [2] pin type [3] aby ball [4] ecap0_in_apwm0_out ecap0 capture input and pwm output ioz e18 ecap1_in_apwm1_out ecap1 capture input and pwm output ioz d18 ehrpwm0_a ehrpwm0 output a ioz n23 ehrpwm0_b ehrpwm0 output b ioz p25 ehrpwm0_synci ehrpwm0 sync input i n24 ehrpwm0_synco ehrpwm0 sync output oz t25 ehrpwm1_a ehrpwm1 output a ioz n22 ehrpwm1_b ehrpwm1 output b ioz r24 ehrpwm2_a ehrpwm2 output a ioz r22 ehrpwm2_b ehrpwm2 output b ioz u25 ehrpwm3_a ehrpwm3 output a ioz a23 ehrpwm3_b ehrpwm3 output b ioz b22 ehrpwm3_synci ehrpwm3 sync input i c22 ehrpwm3_synco ehrpwm3 sync output oz d23 ehrpwm4_a ehrpwm4 output a ioz d12 ehrpwm4_b ehrpwm4 output b ioz d13 ehrpwm5_a ehrpwm5 output a ioz e17 ehrpwm5_b ehrpwm5 output b ioz e16 ehrpwm_soca epwm adc output a oz e13 ehrpwm_socb epwm adc output b oz f16 ehrpwm_tzn0 ehrpwm0 trip zone input (active low) i p24 ehrpwm_tzn1 ehrpwm1 trip zone input (active low) i p23 ehrpwm_tzn2 ehrpwm2 trip zone input (active low) i p21 ehrpwm_tzn3 ehrpwm3 trip zone input (active low) i h24 ehrpwm_tzn4 ehrpwm4 trip zone input (active low) i e13 ehrpwm_tzn5 ehrpwm5 trip zone input (active low) i f16 eqep0_a eqep0 quadrature input a i t24 eqep0_b eqep0 quadrature input b i v25 eqep0_i eqep0 index input / output ioz u24 eqep0_s eqep0 strobe input / output ioz r21 eqep1_a eqep1 quadrature input a i t22 eqep1_b eqep1 quadrature input b i u22 eqep1_i eqep1 index input / output ioz t21 eqep1_s eqep1 strobe input / output ioz v24 eqep2_a eqep2 quadrature input a i u23 eqep2_b eqep2 quadrature input b i v23 eqep2_i eqep2 index input / output ioz w22 eqep2_s eqep2 strobe input / output ioz u21 advance information
63 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated for more information, see section enhanced pwm (epwm) module in chapter peripherals of the device trm. 4.3.19 pru-icss table 4-20. pru-icss signal descriptions signal name [1] description [2] pin type [3] aby ball [4] pr0_ecap0_ecap_capin_apwm_o capture input and pwm output ioz c24 pr0_ecap0_ecap_syncin capture sync input i h24 pr0_ecap0_ecap_syncout capture sync output oz d24 pr0_edc_latch0_in latch input 0 i c5 pr0_edc_latch1_in latch input 1 i a9 pr0_edc_sync0_out sync 0 output oz a5 pr0_edc_sync1_out sync 1 output oz b10 pr0_edio_data0 digital input ioz d23 pr0_edio_data1 digital input ioz c22 pr0_edio_data2 digital input ioz b22 pr0_edio_data3 digital input ioz a23 pr0_edio_outvalid digital out valid signal oz l25 pr0_mdio_data mdio data ioz a10 pr0_mdio_mdclk mdio clock oz c10 pr0_pru0_gpi0 pru0 general-purpose input i d3 pr0_pru0_gpi1 pru0 general-purpose input i a2 pr0_pru0_gpi2 pru0 general-purpose input i e4 pr0_pru0_gpi3 pru0 general-purpose input i b1 pr0_pru0_gpi4 pru0 general-purpose input i a3 pr0_pru0_gpi5 pru0 general-purpose input i e5 pr0_pru0_gpi6 pru0 general-purpose input i b2 pr0_pru0_gpi7 pru0 general-purpose input i d4 pr0_pru0_gpi8 pru0 general-purpose input i e6 pr0_pru0_gpi9 pru0 general-purpose input i c2 pr0_pru0_gpi10 pru0 general-purpose input i c3 pr0_pru0_gpi11 pru0 general-purpose input i d5 pr0_pru0_gpi12 pru0 general-purpose input i b3 pr0_pru0_gpi13 pru0 general-purpose input i b4 pr0_pru0_gpi14 pru0 general-purpose input i a4 pr0_pru0_gpi15 pru0 general-purpose input i e7 pr0_pru0_gpi16 pru0 general-purpose input i d6 pr0_pru0_gpi17 pru0 general-purpose input i c4 pr0_pru0_gpi18 pru0 general-purpose input i c5 pr0_pru0_gpi19 pru0 general-purpose input i a5 pr0_pru0_gpo0 pru0 general-purpose output oz d3 pr0_pru0_gpo1 pru0 general-purpose output oz a2 pr0_pru0_gpo2 pru0 general-purpose output oz e4 pr0_pru0_gpo3 pru0 general-purpose output oz b1 pr0_pru0_gpo4 pru0 general-purpose output oz a3 pr0_pru0_gpo5 pru0 general-purpose output oz e5 pr0_pru0_gpo6 pru0 general-purpose output oz b2 pr0_pru0_gpo7 pru0 general-purpose output oz d4 advance information
64 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-20. pru-icss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] pr0_pru0_gpo8 pru0 general-purpose output oz e6 pr0_pru0_gpo9 pru0 general-purpose output oz c2 pr0_pru0_gpo10 pru0 general-purpose output oz c3 pr0_pru0_gpo11 pru0 general-purpose output oz d5 pr0_pru0_gpo12 pru0 general-purpose output oz b3 pr0_pru0_gpo13 pru0 general-purpose output oz b4 pr0_pru0_gpo14 pru0 general-purpose output oz a4 pr0_pru0_gpo15 pru0 general-purpose output oz e7 pr0_pru0_gpo16 pru0 general-purpose output oz d6 pr0_pru0_gpo17 pru0 general-purpose output oz c4 pr0_pru0_gpo18 pru0 general-purpose output oz c5 pr0_pru0_gpo19 pru0 general-purpose output oz a5 pr0_pru1_gpi0 pru1 general-purpose input i b5 pr0_pru1_gpi1 pru1 general-purpose input i b6 pr0_pru1_gpi2 pru1 general-purpose input i d7 pr0_pru1_gpi3 pru1 general-purpose input i a6 pr0_pru1_gpi4 pru1 general-purpose input i c6 pr0_pru1_gpi5 pru1 general-purpose input i e8 pr0_pru1_gpi6 pru1 general-purpose input i a7 pr0_pru1_gpi7 pru1 general-purpose input i d8 pr0_pru1_gpi8 pru1 general-purpose input i f9 pr0_pru1_gpi9 pru1 general-purpose input i b7 pr0_pru1_gpi10 pru1 general-purpose input i c7 pr0_pru1_gpi11 pru1 general-purpose input i e9 pr0_pru1_gpi12 pru1 general-purpose input i a8 pr0_pru1_gpi13 pru1 general-purpose input i b8 pr0_pru1_gpi14 pru1 general-purpose input i d9 pr0_pru1_gpi15 pru1 general-purpose input i c8 pr0_pru1_gpi16 pru1 general-purpose input i c9 pr0_pru1_gpi17 pru1 general-purpose input i b9 pr0_pru1_gpi18 pru1 general-purpose input i a9 pr0_pru1_gpi19 pru1 general-purpose input i b10 pr0_pru1_gpo0 pru1 general-purpose output oz b5 pr0_pru1_gpo1 pru1 general-purpose output oz b6 pr0_pru1_gpo2 pru1 general-purpose output oz d7 pr0_pru1_gpo3 pru1 general-purpose output oz a6 pr0_pru1_gpo4 pru1 general-purpose output oz c6 pr0_pru1_gpo5 pru1 general-purpose output oz e8 pr0_pru1_gpo6 pru1 general-purpose output oz a7 pr0_pru1_gpo7 pru1 general-purpose output oz d8 pr0_pru1_gpo8 pru1 general-purpose output oz f9 pr0_pru1_gpo9 pru1 general-purpose output oz b7 pr0_pru1_gpo10 pru1 general-purpose output oz c7 pr0_pru1_gpo11 pru1 general-purpose output oz e9 pr0_pru1_gpo12 pru1 general-purpose output oz a8 pr0_pru1_gpo13 pru1 general-purpose output oz b8 pr0_pru1_gpo14 pru1 general-purpose output oz d9 advance information
65 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-20. pru-icss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] pr0_pru1_gpo15 pru1 general-purpose output oz c8 pr0_pru1_gpo16 pru1 general-purpose output oz c9 pr0_pru1_gpo17 pru1 general-purpose output oz b9 pr0_pru1_gpo18 pru1 general-purpose output oz a9 pr0_pru1_gpo19 pru1 general-purpose output oz b10 pr0_uart0_ctsn uart clear-to-send i f25 pr0_uart0_rtsn uart ready-to-send oz f24 pr0_uart0_rxd uart receive data i e25 pr0_uart0_txd uart transmit data oz e24 pr1_ecap0_ecap_capin_apwm_o capture input and pwm output ioz r25 pr1_ecap0_ecap_syncin capture sync input i p22 pr1_ecap0_ecap_syncout cpature sync output oz n25 pr1_edc_latch0_in latch input 0 i d12 pr1_edc_latch1_in latch input 1 i e17 pr1_edc_sync0_out sync 0 output oz d13 pr1_edc_sync1_out sync 1 output oz e16 pr1_edio_data0 digital input ioz c21 pr1_edio_data1 digital input ioz d22 pr1_edio_data2 digital input ioz d21 pr1_edio_data3 digital input ioz e21 pr1_edio_outvalid digital out valid signal oz m25 pr1_mdio_data mdio data ioz e18 pr1_mdio_mdclk mdio clock oz d18 pr1_pru0_gpi0 pru0 general-purpose input i e10 pr1_pru0_gpi1 pru0 general-purpose input i d10 pr1_pru0_gpi2 pru0 general-purpose input i f10 pr1_pru0_gpi3 pru0 general-purpose input i c11 pr1_pru0_gpi4 pru0 general-purpose input i d11 pr1_pru0_gpi5 pru0 general-purpose input i e11 pr1_pru0_gpi6 pru0 general-purpose input i f12 pr1_pru0_gpi7 pru0 general-purpose input i e12 pr1_pru0_gpi8 pru0 general-purpose input i c12 pr1_pru0_gpi9 pru0 general-purpose input i b11 pr1_pru0_gpi10 pru0 general-purpose input i b12 pr1_pru0_gpi11 pru0 general-purpose input i a12 pr1_pru0_gpi12 pru0 general-purpose input i a11 pr1_pru0_gpi13 pru0 general-purpose input i a13 pr1_pru0_gpi14 pru0 general-purpose input i b13 pr1_pru0_gpi15 pru0 general-purpose input i f13 pr1_pru0_gpi16 pru0 general-purpose input i c13 pr1_pru0_gpi17 pru0 general-purpose input i e13 pr1_pru0_gpi18 pru0 general-purpose input i d12 pr1_pru0_gpi19 pru0 general-purpose input i d13 pr1_pru0_gpo0 pru0 general-purpose output oz e10 pr1_pru0_gpo1 pru0 general-purpose output oz d10 pr1_pru0_gpo2 pru0 general-purpose output oz f10 pr1_pru0_gpo3 pru0 general-purpose output oz c11 advance information
66 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-20. pru-icss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] pr1_pru0_gpo4 pru0 general-purpose output oz d11 pr1_pru0_gpo5 pru0 general-purpose output oz e11 pr1_pru0_gpo6 pru0 general-purpose output oz f12 pr1_pru0_gpo7 pru0 general-purpose output oz e12 pr1_pru0_gpo8 pru0 general-purpose output oz c12 pr1_pru0_gpo9 pru0 general-purpose output oz b11 pr1_pru0_gpo10 pru0 general-purpose output oz b12 pr1_pru0_gpo11 pru0 general-purpose output oz a12 pr1_pru0_gpo12 pru0 general-purpose output oz a11 pr1_pru0_gpo13 pru0 general-purpose output oz a13 pr1_pru0_gpo14 pru0 general-purpose output oz b13 pr1_pru0_gpo15 pru0 general-purpose output oz f13 pr1_pru0_gpo16 pru0 general-purpose output oz c13 pr1_pru0_gpo17 pru0 general-purpose output oz e13 pr1_pru0_gpo18 pru0 general-purpose output oz d12 pr1_pru0_gpo19 pru0 general-purpose output oz d13 pr1_pru1_gpi0 pru1 general-purpose input i a14 pr1_pru1_gpi1 pru1 general-purpose input i b14 pr1_pru1_gpi2 pru1 general-purpose input i c14 pr1_pru1_gpi3 pru1 general-purpose input i e14 pr1_pru1_gpi4 pru1 general-purpose input i d14 pr1_pru1_gpi5 pru1 general-purpose input i a15 pr1_pru1_gpi6 pru1 general-purpose input i f14 pr1_pru1_gpi7 pru1 general-purpose input i b15 pr1_pru1_gpi8 pru1 general-purpose input i c15 pr1_pru1_gpi9 pru1 general-purpose input i d15 pr1_pru1_gpi10 pru1 general-purpose input i a16 pr1_pru1_gpi11 pru1 general-purpose input i e15 pr1_pru1_gpi12 pru1 general-purpose input i b16 pr1_pru1_gpi13 pru1 general-purpose input i c16 pr1_pru1_gpi14 pru1 general-purpose input i d17 pr1_pru1_gpi15 pru1 general-purpose input i c18 pr1_pru1_gpi16 pru1 general-purpose input i d16 pr1_pru1_gpi17 pru1 general-purpose input i f16 pr1_pru1_gpi18 pru1 general-purpose input i e17 pr1_pru1_gpi19 pru1 general-purpose input i e16 pr1_pru1_gpo0 pru1 general-purpose output oz a14 pr1_pru1_gpo1 pru1 general-purpose output oz b14 pr1_pru1_gpo2 pru1 general-purpose output oz c14 pr1_pru1_gpo3 pru1 general-purpose output oz e14 pr1_pru1_gpo4 pru1 general-purpose output oz d14 pr1_pru1_gpo5 pru1 general-purpose output oz a15 pr1_pru1_gpo6 pru1 general-purpose output oz f14 pr1_pru1_gpo7 pru1 general-purpose output oz b15 pr1_pru1_gpo8 pru1 general-purpose output oz c15 pr1_pru1_gpo9 pru1 general-purpose output oz d15 pr1_pru1_gpo10 pru1 general-purpose output oz a16 advance information
67 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-20. pru-icss signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] pr1_pru1_gpo11 pru1 general-purpose output oz e15 pr1_pru1_gpo12 pru1 general-purpose output oz b16 pr1_pru1_gpo13 pru1 general-purpose output oz c16 pr1_pru1_gpo14 pru1 general-purpose output oz d17 pr1_pru1_gpo15 pru1 general-purpose output oz c18 pr1_pru1_gpo16 pru1 general-purpose output oz d16 pr1_pru1_gpo17 pru1 general-purpose output oz f16 pr1_pru1_gpo18 pru1 general-purpose output oz e17 pr1_pru1_gpo19 pru1 general-purpose output oz e16 pr1_uart0_ctsn uart clear-to-send i h22 pr1_uart0_rtsn uart ready-to-send oz h21 pr1_uart0_rxd uart receive data i c4 pr1_uart0_txd uart transmit data oz b9 note pru-icss has internal-multiplexing capability of pin functions. see programmable real- time unit subsystem and industrial communication subsystem (pru-icss) in chapter processors and accelerators of the device trm. besides, egpio (enhanced gpio) module can be configured to export additional functions to egpio pins in place of simple gpio. see section pru-icss pru cores in chapter processors and accelerators of the device trm. 4.3.20 emulation and debug subsystem table 4-21. debug signal descriptions signal name [1] description [2] pin type [3] aby ball [4] emu00 emulator pin 0 ioz m22 emu01 emulator pin 1 ioz l22 emu02 emulator pin 2 ioz n23 emu03 emulator pin 3 ioz p25 emu04 emulator pin 4 ioz p24 emu05 emulator pin 5 ioz n24 emu06 emulator pin 6 ioz t25 emu07 emulator pin 7 ioz n22 emu08 emulator pin 8 ioz r24 emu09 emulator pin 9 ioz p23 emu10 emulator pin 10 ioz r22 emu11 emulator pin 11 ioz u25 emu12 emulator pin 12 ioz p21 emu13 emulator pin 13 ioz t24 emu14 emulator pin 14 ioz v25 emu15 emulator pin 15 ioz u24 emu16 emulator pin 16 ioz r21 emu17 emulator pin 17 ioz t22 emu18 emulator pin 18 ioz u22 emu19 emulator pin 19 ioz t21 advance information
68 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-21. debug signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] tck jtag test clock input i l3 tdi jtag test data input i l5 tdo jtag test port data output oz k5 tms jtag test port mode select input. an external pullup resistor must be used on this ball. i k4 trstn jtag test reset i l4 for more information, see chapter on-chip debug of the device trm. 4.3.21 system and miscellaneous 4.3.21.1 boot mode configuration table 4-22. sysboot signal descriptions signal name [1] description [2] pin type [3] aby ball [4] bootcomplete arm and dsp boot complete indicator oz y3 bootmode00 (1) bootmode pin 00 i n23 bootmode01 (1) bootmode pin 01 i p25 bootmode02 (1) bootmode pin 02 i p24 bootmode03 (1) bootmode pin 03 i n24 bootmode04 (1) bootmode pin 04 i t25 bootmode05 (1) bootmode pin 05 i n22 bootmode06 (1) bootmode pin 06 i r24 bootmode07 (1) bootmode pin 07 i p23 bootmode08 (1) bootmode pin 08 i r22 bootmode09 (1) bootmode pin 09 i u25 bootmode10 (1) bootmode pin 10 i p21 bootmode11 (1) bootmode pin 11 i t24 bootmode12 (1) bootmode pin 12 i v25 bootmode13 (1) bootmode pin 13 i u24 bootmode14 (1) bootmode pin 14 i r21 bootmode15 (1) bootmode pin 15 i t22 mainpll_od_sel (1) main pll output divide i w22 noddr (1) bootmode pin for no-ddr use case i u23 (1) separate external pull resistors shall be connected to the balls associated with each of these signals to insure they are pulled to the appropriate and valid logic level required to select the desired boot mode on the rising edge of porn. for more information, see chapter initialization of the device trm. advance information
69 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.3.21.2 reset table 4-23. reset signal descriptions signal name [1] description [2] pin type [3] aby ball [4] lresetn local reset to dsp (active low) i v2 lresetnmienn enable for l_reset_n and nmin (active low) i v1 porn power-on reset (active low). this pin must be asserted low until all device supplies are valid (see section 5.10.1 , power supply sequencing ). i aa3 resetfulln cold reset (active low) i w2 resetn device reset input (active low) i w3 resetstatn reset status indicator (active low) o y2 for more information, see section reset management in chapter device configuration of the device trm. 4.3.21.3 oscillator reference clocks and clock generator table 4-24. clock signal descriptions signal name [1] description [2] pin type [3] aby ball [4] audosc_in (2) optional audio oscillator (audioosc) input. this input can be connected to the appropriate external crystal circuit or the oscillator can be bypassed by connecting this input to an lvcmos clock source. this input may be left unconnected when audioosc is not used. i c17 audosc_out optional audio oscillator (audioosc) output. this output is only used when audioosc is connected to the appropriate external crystal circuit. o a17 clkout rmii/mii reference clock output oz h23 cpts_refclk_n differential cpts reference clock input negative i l21 cpts_refclk_p differential cpts reference clock input positive i k21 sysclkout (3) sysclk divided by 6 observation output oz m21 sysclk_n (4) differential system clock input negative i ac25 sysclk_p (4) differential system clock input positive i ad25 sysosc_in (5) (6) system oscillator (sysosc) input. this input can be connected to the appropriate external crystal circuit or the oscillator can be bypassed by connecting this input to an lvcmos clock source. this input may be left unconnected when sysosc is not used. i ac19 sysosc_out system oscillator (sysosc) output. this output is only used when sysosc is connected to the appropriate external crystal circuit. o ae19 xrefclk optional audio reference clock input i c2 obsclk_n (1) observation clock output, negative o l1 obsclk_p (1) observation clock output, positive o k1 obspll_lock (1) observation pll lock output oz n5 (1) these outputs are provided for test and debug purposes only. performance of these outputs are not defined due to many complex combinations of system variables. for example, these outputs may be sourced from several plls with each pll supporting many configuration options that yield various levels of performance. there are also other unpredictable contributors to performance such as application specific noise or crosstalk which may couple into the clock circuits. therefore, there are no plans to specify performance for these outputs. (2) when connecting audosc_in to an lvcmos clock source, the lvcmos clock source output must be disabled anytime audosc is disabled since audosc_in has a strong internal pull-down resistor which is turned on when audioosc is disabled. this requires the lvcmos clock source to be disabled by default and output enable controlled by a general purpose output since audioosc is disabled by default. (3) this output is provided for test and debug purposes only. performance of this output is not defined due to many complex combinations of system variables. for example, this output is being sourced from the main pll supporting many configuration options that yield advance information
70 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated various levels of performance. there are also other unpredictable contributors to performance such as application specific noise or crosstalk which may couple into the clock circuits. therefore, there are no plans to specify performance for this output. (4) this input is used to source the internal system reference clock (sys_oscclk) when the sysclksel input is driven high. (5) when connecting sysosc_in to an lvcmos clock source, the lvcmos clock source output must be disabled anytime sysosc is disabled since sysosc_in has a strong internal pull-down resistor which is turned on when sysosc is disabled. (6) this input is used to source the internal system reference clock (sys_oscclk) when the sysclksel input is driven low. 4.3.21.4 miscellaneous table 4-25. miscellaneous signal descriptions signal name [1] description [2] pin type [3] aby ball [4] sysclksel (1) system reference clock source selection input i r1 (1) this input is typically sourced by a pull resistor connected to vss or dvdd33. if driven by any other source, this input must be driven to the appropriate logic level at least 500ns before the rising edge of porn and held at the same logic level as long as the device is operational. 4.3.21.5 interrupt controllers (intc) table 4-26. intc signal descriptions signal name [1] description [2] pin type [3] aby ball [4] nmin nonmaskable interrupt (active low) i w1 for more information, see chapter interrupts of the device trm. 4.3.21.6 power supplies table 4-27. power supply signal descriptions signal name [1] description [2] pin type [3] aby ball [4] avdda_armpll arm_pll analog power supply pwr n6 avdda_ddrpll ddr_pll analog power supply pwr w20 avdda_dsspll dss_pll analog power supply pwr n20 avdda_icsspll icss_pll analog power supply pwr g8 avdda_mainpll main_pll analog power supply pwr m19 avdda_nsspll nss_pll analog power supply pwr g14 avdda_uartpll uart_pll analog power supply pwr g10 cvdd core power supply pwr j10 , j14 , j16 , k11 , k13 , k15 , k17 , k9 , l10 , l12 , l14 , l16 , l18 , m11 , m13 , m15 , m17 , m9 , n10 , n12 , n14 , n16 , p11 , p13 , p15 , p17 , p9 , r10 , r12 , r14 , r16 , r18 , r8 , t11 , t15 , t17 , t9 , u16 cvdd1 core fixed power supply pwr m5 , j12 , n18 , n8 , t13 dvdd18 1.8-v i/os power supply pwr f17 , f19 , g6 , h5 , j6 , k19 , l20 , l6 , m7 , u18 , u6 , v19 , w6 advance information
71 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated table 4-27. power supply signal descriptions (continued) signal name [1] description [2] pin type [3] aby ball [4] dvdd33 3.3-v i/os power supply pwr aa23 , e23 , f11 , f15 , f21 , f7 , g12 , g16 , g20 , h11 , h13 , h15 , h9 , j20 , p19 , p7 , r20 , r6 , t19 , t23 , t7 , u20 , v21 dvdd33_usb usb 3.3-v io supply pwr g18 , h17 dvdd_ddr ddr emif i/os power supply pwr ad11 , ad18 , ad5 , ae14 , ae8 , u10 , u12 , u14 , u8 , v11 , v13 , v15 , v17 , v7 , w16 , w18 ddr3_vrefsstl ddr emif reference power supply pwr y9 dvdd_ddrdll ddr emif phy dll power supply pwr w10 , w14 , w8 ldo_pcie_cap (1) serdes ldo output cap j8 , l8 ldo_usb_cap (1) usb ldo output cap h19 , j18 vddahv pcie serdes power supply pwr k7 vpp reserved, leave unconnected pwr y21 vpp2 (2) customer otp efuse array power supply pwr w21 vss_osc_audio audioosc kelvin ground gnd b17 vss_osc_sys sysosc kelvin ground gnd ad19 vss ground gnd a1 , a25 , ad14 , ad8 , ae1 , ae11 , ae18 , ae25 , ae5 , c1 , e2 , e22 , f1 , f20 , f3 , f6 , f8 , g11 , g13 , g15 , g17 , g19 , g21 , g7 , g9 , h10 , h12 , h14 , h16 , h18 , h20 , h6 , h8 , j1 , j11 , j13 , j15 , j17 , j19 , j7 , j9 , k10 , k12 , k14 , k16 , k18 , k20 , k6 , k8 , l11 , l13 , l15 , l17 , l19 , l7 , l9 , m10 , m12 , m14 , m16 , m18 , m20 , m6 , m8 , n11 , n13 , n15 , n17 , n19 , n21 , n7 , n9 , p10 , p12 , p14 , p16 , p18 , p20 , p6 , p8 , r11 , r13 , r15 , r17 , r19 , r23 , r7 , r9 , t10 , t12 , t14 , t16 , t18 , t20 , t6 , t8 , u11 , u13 , u15 , u17 , u19 , u7 , u9 , v10 , v12 , v14 , v16 , v18 , v20 , v8 , w11 , w13 , w15 , w17 , w7 , w9 , y10 , y23 (1) this pin must always be connected through a 1- f capacitor to vss. (2) the vpp2 power source shall only be enabled while programming the customer otp efuse array and shall be disabled during power- up sequence, normal operation, and power-down sequence. when disabled, the power source shall not source current to, or sink current from the vpp2 terminal. for more information, see section power management in chapter device configuration of the device trm. advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 72 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com 4.4 pin multiplexing table 4-28 describes the device pin multiplexing (no characteristics are provided in this table). note table 4-28 , pin multiplexing doesn't take into account subsystem multiplexing signals. subsystem multiplexing signals are described in section 4.3 , signal descriptions . note when a pad is set into a pin multiplexing mode which is not defined, that pad ? s behavior is undefined. this should be avoided. note any balls without an associated pin multiplexing register have a dedicated function that is defined in the muxmode "0"column of this table. for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. table 4-28. pin multiplexing address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap r1 sysclksel y15 ddr3_a01 ab4 ddr3_dqm0 l1 obsclk_n y6 ddr3_d10 ae15 ddr3_clkout_p0 aa3 porn aa17 ddr3_a12 ae7 ddr3_d22 a19 usb0_id ab16 ddr3_a04 c19 usb0_txrtune_rke lvin ae9 ddr3_dqs3_p w2 resetfulln a21 usb1_vbus ae4 ddr3_dqs1_n advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 73 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap v9 ddr3_rzq1 ad16 ddr3_clkout_n1 ae19 sysosc_out l24 mlbp_sig_p ac11 ddr3_cb02 k1 obsclk_p l22 emu01 a20 usb1_dm ad1 ddr3_dqs0_p ae3 ddr3_d04 h7 pcie_refres k22 mlbp_dat_n aa8 ddr3_d20 ac14 ddr3_a06 ab8 ddr3_d17 ac17 ddr3_a08 ac6 ddr3_d21 w3 resetn ad6 ddr3_dqs2_n aa9 ddr3_dqm3 ab10 ddr3_d29 aa12 ddr3_a13 y16 ddr3_a15 aa4 ddr3_d05 aa16 ddr3_a11 aa5 ddr3_dqm1 c17 audosc_in ab15 ddr3_a07 d20 usb1_txrtune_rke lvin y5 ddr3_d13 f2 pcie_clk_n l23 mlbp_clk_n ad13 ddr3_cen0 ab9 ddr3_d30 d19 usb0_xo ac25 sysclk_n ae16 ddr3_clkout_p1 l21 cpts_refclk_n advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 74 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap w12 ddr3_rzq0 ae24 ddr_clk_p ab5 ddr3_d15 ac15 ddr3_a00 ae10 ddr3_d25 aa15 ddr3_a03 m24 mlbp_sig_n e1 pcie_rxp0 ad17 ddr3_ba2 ac3 ddr3_d02 k5 tdo ac7 ddr3_d18 ad9 ddr3_dqs3_n y8 ddr3_d31 l3 tck k23 mlbp_dat_p y11 ddr3_cbdqm ab14 ddr3_a10 y13 ddr3_wen a18 usb0_dp ad24 ddr_clk_n y17 ddr3_a14 ac8 ddr3_dqm2 ac12 ddr3_cb03 aa6 ddr3_d08 ad7 ddr3_d23 b19 usb0_vbus aa11 ddr3_cb00 ac10 ddr3_d27 ae17 ddr3_a05 ae12 ddr3_cbdqs_p aa14 ddr3_ba0 g2 pcie_clk_p ac4 ddr3_d14 y9 ddr3_vrefsstl h1 pcie_txn0 ab18 ddr3_cke0 ab6 ddr3_d12 e20 usb1_id advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 75 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap l4 trstn ac19 sysosc_in ad3 ddr3_d06 b20 usb1_dp ae6 ddr3_dqs2_p ab7 ddr3_d16 m22 emu00 d1 pcie_rxn0 m23 mlbp_clk_p ab3 ddr3_d07 ad2 ddr3_d00 a17 audosc_out b18 usb0_dm ab13 ddr3_ba1 k4 tms ab17 ddr3_a09 y7 ddr3_d09 ad15 ddr3_clkout_n0 y18 ddr3_resetn ac13 ddr3_casn ac2 ddr3_d03 g1 pcie_txp0 ad12 ddr3_cbdqs_n ad10 ddr3_d26 ae13 ddr3_rasn ae2 ddr3_dqs0_n aa13 ddr3_odt0 c20 usb1_xo l5 tdi aa10 ddr3_d24 k21 cpts_refclk_p aa7 ddr3_d19 ac5 ddr3_d11 y4 ddr3_d01 ac16 ddr3_a02 ab11 ddr3_cb01 ad25 sysclk_p ac9 ddr3_d28 ad4 ddr3_dqs1_p advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 76 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap u5 i2c0_scl w5 i2c0_sda v6 i2c1_scl w4 i2c1_sda v5 i2c2_scl v4 i2c2_sda 0x1000 padconfig_0 ac21 gpmc_ad0 gpio0_00 0x1004 padconfig_1 ae20 gpmc_ad1 gpio0_01 0x1008 padconfig_2 ad22 gpmc_ad2 gpio0_02 0x100c padconfig_3 ad20 gpmc_ad3 gpio0_03 0x1010 padconfig_4 ae21 gpmc_ad4 gpio0_04 0x1014 padconfig_5 ae22 gpmc_ad5 gpio0_05 0x1018 padconfig_6 ac20 gpmc_ad6 gpio0_06 0x101c padconfig_7 ad21 gpmc_ad7 gpio0_07 0x1020 padconfig_8 ae23 gpmc_ad8 gpio0_08 0x1024 padconfig_9 ab20 gpmc_ad9 gpio0_09 0x1028 padconfig_10 aa20 gpmc_ad10 gpio0_10 0x102c padconfig_11 ad23 gpmc_ad11 gpio0_11 0x1030 padconfig_12 aa21 gpmc_ad12 gpio0_12 0x1034 padconfig_13 ab21 gpmc_ad13 gpio0_13 0x1038 padconfig_14 ab22 gpmc_ad14 gpio0_14 0x103c padconfig_15 aa22 gpmc_ad15 gpio0_15 0x1040 padconfig_16 ab23 gpmc_clk gpio0_16 0x1044 padconfig_17 ac23 gpmc_advn_ale gpio0_17 0x1048 padconfig_18 ac22 gpmc_oen_ren gpio0_18 0x104c padconfig_19 y22 gpmc_wen gpio0_19 0x1050 padconfig_20 ac24 gpmc_ben0_cle gpio0_20 0x1054 padconfig_21 ab24 gpmc_ben1 gpio0_21 0x1058 padconfig_22 y24 gpmc_wait0 gpio0_22 0x105c padconfig_23 aa24 gpmc_wait1 mlb_clk gpio0_23 0x1060 padconfig_24 w25 gpmc_wpn gpio0_24 0x1064 padconfig_25 aa25 gpmc_dir mlb_sig gpio0_25 0x1068 padconfig_26 ab25 gpmc_csn0 gpio0_26 0x106c padconfig_27 w24 gpmc_csn1 mlb_dat gpio0_27 0x1070 padconfig_28 w23 gpmc_csn2 timi1 gpio0_28 0x1074 padconfig_29 y25 gpmc_csn3 timo1 gpio0_29 0x1078 padconfig_30 n23 dss_data23 gpmc_a24 ehrpwm0_a gpio0_30 emu02 bootmode00 0x107c padconfig_31 p25 dss_data22 gpmc_a23 ehrpwm0_b gpio0_31 emu03 bootmode01 0x1080 padconfig_32 p24 dss_data21 gpmc_a22 ehrpwm_tzn0 gpio0_32 emu04 bootmode02 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 77 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x1084 padconfig_33 n24 dss_data20 gpmc_a21 ehrpwm0_synci gpio0_33 emu05 bootmode03 0x1088 padconfig_34 t25 dss_data19 gpmc_a20 ehrpwm0_synco gpio0_34 emu06 dss_rfbi_tevsync 1 bootmode04 0x108c padconfig_35 n22 dss_data18 gpmc_a19 ehrpwm1_a gpio0_35 emu07 dss_rfbi_hsync1 bootmode05 0x1090 padconfig_36 r24 dss_data17 gpmc_a18 ehrpwm1_b gpio0_36 emu08 dss_rfbi_csn1 bootmode06 0x1094 padconfig_37 p23 dss_data16 gpmc_a17 ehrpwm_tzn1 gpio0_37 emu09 dss_rfbi_csn0 bootmode07 0x1098 padconfig_38 r22 dss_data15 gpmc_a16 ehrpwm2_a gpio0_38 emu10 dss_rfbi_data15 bootmode08 0x109c padconfig_39 u25 dss_data14 gpmc_a15 ehrpwm2_b gpio0_39 emu11 dss_rfbi_data14 bootmode09 0x10a0 padconfig_40 p21 dss_data13 gpmc_a14 ehrpwm_tzn2 gpio0_40 emu12 dss_rfbi_data13 bootmode10 0x10a4 padconfig_41 t24 dss_data12 gpmc_a13 eqep0_a gpio0_41 emu13 dss_rfbi_data12 bootmode11 0x10a8 padconfig_42 v25 dss_data11 gpmc_a12 eqep0_b gpio0_42 emu14 dss_rfbi_data11 bootmode12 0x10ac padconfig_43 u24 dss_data10 gpmc_a11 eqep0_i gpio0_43 emu15 dss_rfbi_data10 bootmode13 0x10b0 padconfig_44 r21 dss_data9 gpmc_a10 eqep0_s gpio0_44 emu16 dss_rfbi_data9 bootmode14 0x10b4 padconfig_45 t22 dss_data8 gpmc_a9 eqep1_a gpio0_45 emu17 dss_rfbi_data8 bootmode15 0x10b8 padconfig_46 u22 dss_data7 gpmc_a8 eqep1_b gpio0_46 emu18 dss_rfbi_data7 0x10bc padconfig_47 t21 dss_data6 gpmc_a7 eqep1_i gpio0_47 emu19 dss_rfbi_data6 0x10c0 padconfig_48 v24 dss_data5 gpmc_a6 eqep1_s gpio0_48 dss_rfbi_data5 0x10c4 padconfig_49 u23 dss_data4 gpmc_a5 eqep2_a gpio0_49 dss_rfbi_data4 noddr 0x10c8 padconfig_50 v23 dss_data3 gpmc_a4 eqep2_b gpio0_50 dss_rfbi_data3 0x10cc padconfig_51 w22 dss_data2 gpmc_a3 eqep2_i gpio0_51 dss_rfbi_data2 mainpll_od_sel 0x10d0 padconfig_52 u21 dss_data1 gpmc_a2 eqep2_s gpio0_52 dss_rfbi_data1 0x10d4 padconfig_53 v22 dss_data0 gpmc_a1 gpio0_53 dss_rfbi_data0 0x10d8 padconfig_54 r25 dss_vsync gpmc_a25 pr1_ecap0_ecap_c apin_apwm_o gpio0_54 dss_rfbi_tevsync 0 0x10dc padconfig_55 p22 dss_hsync gpmc_a26 pr1_ecap0_ecap_s yncin gpio0_55 dss_rfbi_hsync0 0x10e0 padconfig_56 n25 dss_pclk gpmc_a27 pr1_ecap0_ecap_s yncout gpio0_56 dss_rfbi_ren 0x10e4 padconfig_57 m25 dss_de gpmc_a0 pr1_edio_outvalid gpio0_57 dss_rfbi_wen 0x10e8 padconfig_58 l25 dss_fid pr0_edio_outvalid gpio0_58 dss_rfbi_a0 0x10ec padconfig_59 g5 mmc1_dat7 gpio0_59 0x10f0 padconfig_60 f4 mmc1_dat6 gpio0_60 0x10f4 padconfig_61 g4 mmc1_dat5 gpio0_61 0x10f8 padconfig_62 e3 mmc1_dat4 gpio0_62 0x10fc padconfig_63 h4 mmc1_dat3 gpio0_63 0x1100 padconfig_64 j5 mmc1_dat2 gpio0_64 0x1104 padconfig_65 f5 mmc1_dat1 gpio0_65 0x1108 padconfig_66 h3 mmc1_dat0 gpio0_66 0x110c padconfig_67 j4 mmc1_clk gpio0_67 0x1110 padconfig_68 j2 mmc1_cmd gpio0_68 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 78 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x1114 padconfig_69 j3 mmc1_sdcd gpio0_69 0x1118 padconfig_70 k3 mmc1_sdwp gpio0_70 0x111c padconfig_71 k2 mmc1_pow gpio0_71 0x1120 padconfig_72 a22 mii_rxclk rgmii_rxc gpio0_72 0x1124 padconfig_73 a23 pr0_edio_data3 gpio0_73 ehrpwm3_a 0x1128 padconfig_74 b22 pr0_edio_data2 gpio0_74 ehrpwm3_b 0x112c padconfig_75 c22 pr0_edio_data1 gpio0_75 ehrpwm3_synci 0x1130 padconfig_76 d23 pr0_edio_data0 gpio0_76 ehrpwm3_synco 0x1134 padconfig_77 f22 mii_rxd3 rgmii_rxd3 gpio0_77 0x1138 padconfig_78 b23 mii_rxd2 rgmii_rxd2 gpio0_78 0x113c padconfig_79 c23 mii_rxd1 rgmii_rxd1 rmii_rxd1 gpio0_79 0x1140 padconfig_80 b24 mii_rxd0 rgmii_rxd0 rmii_rxd0 gpio0_80 0x1144 padconfig_81 a24 mii_rxdv rgmii_rxctl gpio0_81 0x1148 padconfig_82 f23 mii_rxer rmii_rxer gpio0_82 0x114c padconfig_83 b25 mii_col gpio0_83 0x1150 padconfig_84 g22 mii_crs rmii_crs_dv gpio0_84 0x1154 padconfig_85 c25 mii_txclk rgmii_txc gpio0_85 0x1158 padconfig_86 c24 spi3_scsn0 pr0_ecap0_ecap_c apin_apwm_o gpio0_86 0x115c padconfig_87 e25 spi3_scsn1 pr0_uart0_rxd gpio0_87 0x1160 padconfig_88 e24 spi3_clk pr0_uart0_txd gpio0_88 0x1164 padconfig_89 f25 spi3_somi pr0_uart0_ctsn gpio0_89 0x1168 padconfig_90 f24 spi3_simo pr0_uart0_rtsn gpio0_90 0x116c padconfig_91 d25 mii_txd3 rgmii_txd3 gpio0_91 0x1170 padconfig_92 g25 mii_txd2 rgmii_txd2 gpio0_92 0x1174 padconfig_93 g24 mii_txd1 rgmii_txd1 rmii_txd1 gpio0_93 0x1178 padconfig_94 g23 mii_txd0 rgmii_txd0 rmii_txd0 gpio0_94 0x117c padconfig_95 h25 mii_txen rgmii_txctl rmii_txen gpio0_95 0x1180 padconfig_96 h24 mii_txer pr0_ecap0_ecap_s yncin gpio0_96 ehrpwm_tzn3 0x1184 padconfig_97 d24 rmii_refclk pr0_ecap0_ecap_s yncout 0x1188 padconfig_98 v3 mdio_data gpio0_97 0x118c padconfig_99 u3 mdio_clk gpio0_98 0x1190 padconfig_100 m3 spi0_scsn0 0x1194 padconfig_101 m4 spi0_scsn1 gpio0_99 0x1198 padconfig_102 m2 spi0_clk 0x119c padconfig_103 m1 spi0_somi 0x11a0 padconfig_104 n4 spi0_simo 0x11a4 padconfig_105 p1 spi1_scsn0 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 79 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x11a8 padconfig_106 n3 spi1_scsn1 gpio0_100 0x11ac padconfig_107 n2 spi1_clk 0x11b0 padconfig_108 n1 spi1_somi 0x11b4 padconfig_109 p2 spi1_simo 0x11b8 padconfig_110 p3 spi2_scsn0 gpio0_101 0x11bc padconfig_111 p4 spi2_scsn1 gpio0_102 0x11c0 padconfig_112 r2 spi2_clk gpio0_103 0x11c4 padconfig_113 r4 spi2_somi gpio0_104 0x11c8 padconfig_114 r3 spi2_simo gpio0_105 0x11cc padconfig_115 t4 uart0_rxd 0x11d0 padconfig_116 t1 uart0_txd 0x11d4 padconfig_117 t2 uart0_ctsn timi0 gpio0_106 0x11d8 padconfig_118 u1 uart0_rtsn timo0 gpio0_107 0x11dc padconfig_119 t3 uart1_rxd gpio1_48 0x11e0 padconfig_120 t5 uart1_txd gpio1_49 0x11e4 padconfig_121 u2 uart1_ctsn gpio1_50 0x11e8 padconfig_122 u4 uart1_rtsn gpio1_51 0x11ec padconfig_123 e21 uart2_rxd pr1_edio_data3 uart0_dcdn gpio1_52 cpts_hw1_tspush 0x11f0 padconfig_124 d21 uart2_txd pr1_edio_data2 uart0_dsrn gpio1_53 cpts_hw2_tspush 0x11f4 padconfig_125 d22 uart2_ctsn pr1_edio_data1 uart0_dtrn gpio1_54 cpts_ts_sync 0x11f8 padconfig_126 c21 uart2_rtsn pr1_edio_data0 uart0_rin gpio1_55 cpts_ts_comp 0x11fc padconfig_127 p5 dcan0_tx gpio1_56 0x1200 padconfig_128 r5 dcan0_rx gpio1_57 0x1204 padconfig_129 k25 qspi_clk gpio1_58 0x1208 padconfig_130 k24 qspi_rclk gpio1_59 0x120c padconfig_131 j23 qspi_d0 gpio1_60 0x1210 padconfig_132 j22 qspi_d1 gpio1_61 0x1214 padconfig_133 j21 qspi_d2 gpio1_62 0x1218 padconfig_134 j24 qspi_d3 gpio1_63 0x121c padconfig_135 j25 qspi_csn0 gpio1_64 0x1220 padconfig_136 h23 qspi_csn1 clkout gpio1_65 0x1224 padconfig_137 h22 qspi_csn2 dcan1_tx pr1_uart0_ctsn gpio1_66 usb0_ext_trigger 0x1228 padconfig_138 h21 qspi_csn3 dcan1_rx pr1_uart0_rtsn gpio1_67 usb1_ext_trigger 0x122c padconfig_139 d3 pr0_pru0_gpo0 pr0_pru0_gpi0 gpio0_108 mcasp2_axr0 0x1230 padconfig_140 a2 pr0_pru0_gpo1 pr0_pru0_gpi1 gpio0_109 mcasp2_axr1 0x1234 padconfig_141 e4 pr0_pru0_gpo2 pr0_pru0_gpi2 gpio0_110 mcasp2_axr2 0x1238 padconfig_142 b1 pr0_pru0_gpo3 pr0_pru0_gpi3 gpio0_111 mcasp2_axr3 0x123c padconfig_143 a3 pr0_pru0_gpo4 pr0_pru0_gpi4 gpio0_112 mcasp2_axr4 0x1240 padconfig_144 e5 pr0_pru0_gpo5 pr0_pru0_gpi5 gpio0_113 mcasp2_axr5 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 80 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x1244 padconfig_145 b2 pr0_pru0_gpo6 pr0_pru0_gpi6 gpio0_114 mcasp2_aclkr 0x1248 padconfig_146 d4 pr0_pru0_gpo7 pr0_pru0_gpi7 gpio0_115 mcasp2_afsr 0x124c padconfig_147 e6 pr0_pru0_gpo8 pr0_pru0_gpi8 gpio0_116 mcasp2_ahclkr 0x1250 padconfig_148 c2 pr0_pru0_gpo9 pr0_pru0_gpi9 xrefclk gpio0_117 mcasp2_amute 0x1254 padconfig_149 c3 pr0_pru0_gpo10 pr0_pru0_gpi10 gpio0_118 mcasp2_afsx 0x1258 padconfig_150 d5 pr0_pru0_gpo11 pr0_pru0_gpi11 gpio0_119 mcasp2_ahclkx 0x125c padconfig_151 b3 pr0_pru0_gpo12 pr0_pru0_gpi12 gpio0_120 mcasp2_aclkx 0x1260 padconfig_152 b4 pr0_pru0_gpo13 pr0_pru0_gpi13 gpio0_121 mcasp1_aclkr 0x1264 padconfig_153 a4 pr0_pru0_gpo14 pr0_pru0_gpi14 gpio0_122 mcasp1_afsr 0x1268 padconfig_154 e7 pr0_pru0_gpo15 pr0_pru0_gpi15 gpio0_123 mcasp1_ahclkr 0x126c padconfig_155 d6 pr0_pru0_gpo16 pr0_pru0_gpi16 gpio0_124 mcasp1_aclkx 0x1270 padconfig_156 c4 pr0_pru0_gpo17 pr0_pru0_gpi17 pr1_uart0_rxd gpio0_125 mcasp1_afsx 0x1274 padconfig_157 c5 pr0_pru0_gpo18 pr0_pru0_gpi18 pr0_edc_latch0_in gpio0_126 mcasp1_ahclkx 0x1278 padconfig_158 a5 pr0_pru0_gpo19 pr0_pru0_gpi19 pr0_edc_sync0_ou t gpio0_127 mcasp1_amute 0x127c padconfig_159 b5 pr0_pru1_gpo0 pr0_pru1_gpi0 gpio0_128 mcasp1_axr0 0x1280 padconfig_160 b6 pr0_pru1_gpo1 pr0_pru1_gpi1 gpio0_129 mcasp1_axr1 0x1284 padconfig_161 d7 pr0_pru1_gpo2 pr0_pru1_gpi2 gpio0_130 mcasp1_axr2 0x1288 padconfig_162 a6 pr0_pru1_gpo3 pr0_pru1_gpi3 gpio0_131 mcasp1_axr3 0x128c padconfig_163 c6 pr0_pru1_gpo4 pr0_pru1_gpi4 gpio0_132 mcasp1_axr4 0x1290 padconfig_164 e8 pr0_pru1_gpo5 pr0_pru1_gpi5 gpio0_133 mcasp1_axr5 0x1294 padconfig_165 a7 pr0_pru1_gpo6 pr0_pru1_gpi6 gpio0_134 mcasp1_axr6 0x1298 padconfig_166 d8 pr0_pru1_gpo7 pr0_pru1_gpi7 gpio0_135 mcasp1_axr7 0x129c padconfig_167 f9 pr0_pru1_gpo8 pr0_pru1_gpi8 gpio0_136 mcasp1_axr8 0x12a0 padconfig_168 b7 pr0_pru1_gpo9 pr0_pru1_gpi9 gpio0_137 mcasp1_axr9 0x12a4 padconfig_169 c7 pr0_pru1_gpo10 pr0_pru1_gpi10 gpio0_138 mcasp0_amute 0x12a8 padconfig_170 e9 pr0_pru1_gpo11 pr0_pru1_gpi11 gpio0_139 mcasp0_aclkr 0x12ac padconfig_171 a8 pr0_pru1_gpo12 pr0_pru1_gpi12 gpio0_140 mcasp0_afsr 0x12b0 padconfig_172 b8 pr0_pru1_gpo13 pr0_pru1_gpi13 gpio0_141 mcasp0_ahclkr 0x12b4 padconfig_173 d9 pr0_pru1_gpo14 pr0_pru1_gpi14 gpio0_142 mcasp0_aclkx 0x12b8 padconfig_174 c8 pr0_pru1_gpo15 pr0_pru1_gpi15 gpio0_143 mcasp0_afsx 0x12bc padconfig_175 c9 pr0_pru1_gpo16 pr0_pru1_gpi16 gpio1_00 mcasp0_ahclkx 0x12c0 padconfig_176 b9 pr0_pru1_gpo17 pr0_pru1_gpi17 pr1_uart0_txd gpio1_01 mcasp0_axr0 0x12c4 padconfig_177 a9 pr0_pru1_gpo18 pr0_pru1_gpi18 pr0_edc_latch1_in gpio1_02 mcasp0_axr1 0x12c8 padconfig_178 b10 pr0_pru1_gpo19 pr0_pru1_gpi19 pr0_edc_sync1_ou t gpio1_03 mcasp0_axr2 0x12cc padconfig_179 a10 pr0_mdio_data gpio1_04 mcasp0_axr3 0x12d0 padconfig_180 c10 pr0_mdio_mdclk gpio1_05 mcasp0_axr4 0x12d4 padconfig_181 e10 pr1_pru0_gpo0 pr1_pru0_gpi0 gpio1_06 mcasp0_axr5 advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 81 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x12d8 padconfig_182 d10 pr1_pru0_gpo1 pr1_pru0_gpi1 gpio1_07 mcasp0_axr6 0x12dc padconfig_183 f10 pr1_pru0_gpo2 pr1_pru0_gpi2 gpio1_08 mcasp0_axr7 0x12e0 padconfig_184 c11 pr1_pru0_gpo3 pr1_pru0_gpi3 gpio1_09 mcasp0_axr8 0x12e4 padconfig_185 d11 pr1_pru0_gpo4 pr1_pru0_gpi4 mmc0_pow gpio1_10 mcasp0_axr9 0x12e8 padconfig_186 e11 pr1_pru0_gpo5 pr1_pru0_gpi5 mmc0_sdwp gpio1_11 mcasp0_axr10 0x12ec padconfig_187 f12 pr1_pru0_gpo6 pr1_pru0_gpi6 mmc0_sdcd gpio1_12 mcasp0_axr11 0x12f0 padconfig_188 e12 pr1_pru0_gpo7 pr1_pru0_gpi7 mmc0_dat7 gpio1_13 mcasp0_axr12 0x12f4 padconfig_189 c12 pr1_pru0_gpo8 pr1_pru0_gpi8 mmc0_dat6 gpio1_14 mcasp0_axr13 0x12f8 padconfig_190 b11 pr1_pru0_gpo9 pr1_pru0_gpi9 mmc0_dat5 gpio1_15 mcasp0_axr14 0x12fc padconfig_191 b12 pr1_pru0_gpo10 pr1_pru0_gpi10 mmc0_dat4 gpio1_16 mcasp0_axr15 0x1300 padconfig_192 a12 pr1_pru0_gpo11 pr1_pru0_gpi11 mmc0_dat3 gpio1_17 0x1304 padconfig_193 a11 pr1_pru0_gpo12 pr1_pru0_gpi12 mmc0_dat2 gpio1_18 0x1308 padconfig_194 a13 pr1_pru0_gpo13 pr1_pru0_gpi13 mmc0_dat1 gpio1_19 0x130c padconfig_195 b13 pr1_pru0_gpo14 pr1_pru0_gpi14 mmc0_dat0 gpio1_20 0x1310 padconfig_196 f13 pr1_pru0_gpo15 pr1_pru0_gpi15 mmc0_clk gpio1_21 0x1314 padconfig_197 c13 pr1_pru0_gpo16 pr1_pru0_gpi16 mmc0_cmd gpio1_22 0x1318 padconfig_198 e13 pr1_pru0_gpo17 pr1_pru0_gpi17 gpio1_23 ehrpwm_tzn4 ehrpwm_soca 0x131c padconfig_199 d12 pr1_pru0_gpo18 pr1_pru0_gpi18 pr1_edc_latch0_in gpio1_24 ehrpwm4_a 0x1320 padconfig_200 d13 pr1_pru0_gpo19 pr1_pru0_gpi19 pr1_edc_sync0_ou t gpio1_25 ehrpwm4_b 0x1324 padconfig_201 a14 pr1_pru1_gpo0 pr1_pru1_gpi0 gpio1_26 0x1328 padconfig_202 b14 pr1_pru1_gpo1 pr1_pru1_gpi1 gpio1_27 0x132c padconfig_203 c14 pr1_pru1_gpo2 pr1_pru1_gpi2 gpio1_28 0x1330 padconfig_204 e14 pr1_pru1_gpo3 pr1_pru1_gpi3 gpio1_29 0x1334 padconfig_205 d14 pr1_pru1_gpo4 pr1_pru1_gpi4 gpio1_30 0x1338 padconfig_206 a15 pr1_pru1_gpo5 pr1_pru1_gpi5 gpio1_31 0x133c padconfig_207 f14 pr1_pru1_gpo6 pr1_pru1_gpi6 gpio1_32 0x1340 padconfig_208 b15 pr1_pru1_gpo7 pr1_pru1_gpi7 gpio1_33 0x1344 padconfig_209 c15 pr1_pru1_gpo8 pr1_pru1_gpi8 gpio1_34 0x1348 padconfig_210 d15 pr1_pru1_gpo9 pr1_pru1_gpi9 mcbsp_dr gpio1_35 0x134c padconfig_211 a16 pr1_pru1_gpo10 pr1_pru1_gpi10 mcbsp_dx gpio1_36 0x1350 padconfig_212 e15 pr1_pru1_gpo11 pr1_pru1_gpi11 mcbsp_fsx gpio1_37 0x1354 padconfig_213 b16 pr1_pru1_gpo12 pr1_pru1_gpi12 mcbsp_clkx gpio1_38 0x1358 padconfig_214 c16 pr1_pru1_gpo13 pr1_pru1_gpi13 mcbsp_fsr gpio1_39 0x135c padconfig_215 d17 pr1_pru1_gpo14 pr1_pru1_gpi14 mcbsp_clkr gpio1_40 0x1360 padconfig_216 c18 pr1_pru1_gpo15 pr1_pru1_gpi15 gpio1_41 0x1364 padconfig_217 d16 pr1_pru1_gpo16 pr1_pru1_gpi16 gpio1_42 0x1368 padconfig_218 f16 pr1_pru1_gpo17 pr1_pru1_gpi17 gpio1_43 ehrpwm_tzn5 ehrpwm_socb 0x136c padconfig_219 e17 pr1_pru1_gpo18 pr1_pru1_gpi18 pr1_edc_latch1_in gpio1_44 ehrpwm5_a advance information
copyright ? 2017 ? 2018, texas instruments incorporated terminal configuration and functions submit documentation feedback product folder links: 66ak2g12 82 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com table 4-28. pin multiplexing (continued) address offset register name ball number muxmode and bootstrap settings 0 1 2 3 4 5 bootstrap 0x1370 padconfig_220 e16 pr1_pru1_gpo19 pr1_pru1_gpi19 pr1_edc_sync1_ou t gpio1_45 ehrpwm5_b 0x1374 padconfig_221 e18 pr1_mdio_data gpio1_46 ecap0_in_apwm0_o ut 0x1378 padconfig_222 d18 pr1_mdio_mdclk gpio1_47 ecap1_in_apwm1_o ut 0x1394 padconfig_229 w1 nmin 0x1398 padconfig_230 v2 lresetn 0x139c padconfig_231 v1 lresetnmienn 0x13ac padconfig_235 y2 resetstatn 0x13b0 padconfig_236 y3 bootcomplete 0x13b4 padconfig_237 m21 sysclkout 0x13b8 padconfig_238 n5 obspll_lock 0x1408 padconfig_258 e19 usb0_drvvbus 0x140c padconfig_259 b21 usb1_drvvbus advance information
83 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated 4.5 connections for unused pins this section describes the unused/reserved balls connection requirements. note all power balls must be supplied with the voltages specified in section 5.4 , recommended operating conditions, unless otherwise specified in section 4.3 , signal descriptions . table 4-29. unused balls specific connection requirements balls connection requirements ac19 / l4 / ad1 / ad4 / ae6 / ae9 / ae12 / m2 / n4 / m1 / n2 / p2 / n1 / t4 / t1 / d24 / c17 / l5 / ac25 / ad24 / l21 / l23 each of these balls must be connected to vss through a separate external pull resistor to insure these balls are held to a valid logic low level if unused l3 / w1 / w3 / k4 / ae2 / ae4 / ad6 / ad9 / ad12 / u5 / w5 / v6 / w4 / v5 / v4 / ad25 / ae24 / k21 / m23 / m3 / p1 each of these balls must be connected to the corresponding power supply through a separate external pull resistor to insure these balls are held to a valid logic high level if unused (1) (1) to determine which power supply is associated with any io refer to table 4-1 , pin attributes note the following balls are reserved: aa19 (rsv1) / ab19 (rsv2) / y20 (rsv3) / w19 (rsv4) / d2 (rsv5) / g3 (rsv7) / f18 (rsv8) / h2 (rsv9) / aa18 (rsv10) / y19 (rsv11) / y14 (rsv12) / ac18 / ab12 / y12 these balls must be left unconnected. note the following ball is reserved: l2 (rsv6) this ball must be connected to vss through a separate external pull resistor to insure it is held to a valid logic low level. note the following balls are reserved: y1 (rsv13) / aa1 (rsv14) / ab1 (rsv15) / aa2 (rsv16) / ab2 (rsv17) / ac1 (rsv18) each of these balls must be connected to dvdd18 through a separate external pull resistor to insure they are held to a valid logic high level. advance information
84 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 terminal configuration and functions copyright ? 2017 ? 2018, texas instruments incorporated note all other unused signal balls with a pad configuration register can be left unconnected with their multiplexing mode set to gpio input and internal pulldown resistor enabled. unused balls are defined as those which only connect to a pcb solder pad. this is the only use case where internal pull resistors are allowed as the only source/sink to hold a valid logic level. any balls connected to a via, test point, or pcb trace are considered used and must not depend on the internal pull resistor to hold a valid logic level. internal pull resistors are weak and may not source enough current to maintain a valid logic level for some operating conditions. this may be the case when connected to components with leakage to the opposite logic level, or when external noise sources couple to signal traces attached to balls which are only pulled to a valid logic level by the internal resistor. therefore, external pull resistors may be required to hold a valid logic level on balls with external connections. if balls are allowed to float between valid logic levels, the input buffer may enter a high- current state which could damage the io cell. note all other unused signal balls without pad configuration register can be left unconnected. advance information
85 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5 specifications 5.1 absolute maximum ratings over operating junction temperature range (unless otherwise noted) (1) (2) parameters min max unit v supply (steady-state) supply steady state voltage ranges cvdd -0.3 1.3 v cvdd1 -0.3 1.3 v vpp2 (5) tbd tbd v avdda_ddrpll -0.3 1.98 v avdda_dsspll -0.3 1.98 v avdda_mainpll -0.3 1.98 v avdda_nsspll -0.3 1.98 v avdda_uartpll -0.3 1.98 v avdda_icsspll -0.3 1.98 v avdda_armpll -0.3 1.98 v dvdd_ddr -0.3 1.98 v dvdd_ddrdll -0.3 2.45 v vddahv -0.3 2.45 v dvdd18 -0.3 2.45 v dvdd33 -0.3 3.63 v dvdd33_usb -0.3 3.63 v v io (steady-state) non-fail-safe io steady-state voltage ranges (3) (6) all ios which are not fail-safe -0.3 io supply voltage + 0.3 v ddr3_vrefsstl tbd tbd v fail-safe io steady-state voltage ranges (7) usb0_vbus tbd tbd v usb1_vbus tbd tbd v sr maximum slew rate, all supplies 10 5 v/s v io (transient overshoot and undershoot) i/o transient voltage ranges (transient overshoot and undershoot) (4) i2c i/os (8) 10% overshoot / undershoot for 10% of signal duty cycle (see figure 5-1 ) v all other i/os 20% overshoot / undershoot for 20% of signal duty cycle (see figure 5-2 ) v t stg storage temperature after soldered onto pc board -65 150 c (1) stresses beyond those listed under absolute maximum rating s may cause permanent damage to the device. these are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated under section 5.4 , recommended operating conditions is not implied. exposure to absolute-maximum-rated conditions for extended periods may affect device reliability. (2) all voltage values are with respect to v ss , unless otherwise noted. (3) refer to table 4-1 , pin attributes , to determine which power supply is associated with an i/o. (4) overshoot/undershoot percentage relative to i/o operating values - for example the maximum overshoot value for a standard lvcmos i/o operating at 1.8 v is dvdd18 + (0.20 dvdd18) and maximum undershoot value would be vss - (0.20 dvdd18). (5) the vpp2 power source shall only be enabled while programming the customer otp efuse array and shall be disabled during power- up sequence, normal operation, and power-down sequence. when disabled, the power source shall not source current to, or sink current from the vpp2 terminal. (6) this parameter applies to all io terminals which are not fail-safe and the requirement applies to all values of io supply voltage. for example, if the voltage applied to a specific io supply is 0 volts the valid input voltage range for any io powered by that supply will be ? 0.3 to +0.3 v. apply special attention anytime peripheral devices are not powered from the same power sources used to power the respective io supply. it is important the attached peripheral never sources a voltage outside the valid input voltage range, including power supply ramp-up and ramp-down sequences. (7) this parameter is associated with a fail-safe io and does not have a dependence on any io supply voltage. advance information
86 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated absolute maximum ratings (continued) over operating junction temperature range (unless otherwise noted) (1) (2) (8) designing a system that is able to meet the i2c overshoot/undershoot limit defined by this parameter should not be an issue since the i2c specification defines a minimum rise/fall time which minimizes overshoots and undershoots. however, special design precautions may need to be taken if the i2c ios are connected to other devices which are not compliant to the minimum rise/fall time parameters defined in the i2c specification. figure 5-1. i2c i/o transient voltage ranges figure 5-2. all other i/os transient voltage ranges 5.2 esd ratings value unit v (esd) electrostatic discharge human-body model (hbm), per ansi/esda/jedec js-001 (1) 2000 v charged-device model (cdm), per jedec specification jesd22- c101 (2) 500 (1) jedec document jep155 states that 500-v hbm allows safe manufacturing with a standard esd control process. (2) jedec document jep157 states that 250-v cdm allows safe manufacturing with a standard esd control process. t overshoot t undershoot t period max overshoot = vdd + (0.1vdd) max undershoot = vss - (0.1vdd) vdd (supply voltage of correspondingi/o power supply) vss sprsp07_tran_01 t + t < 10% of t overshoot undershoot period t overshoot t undershoot t period max overshoot = vdd + (0.2vdd) max undershoot = vss - (0.2vdd) vdd (supply voltage of correspondingi/o power supply) vss sprsp07_tran_01 t + t < 20% of t overshoot undershoot period advance information
87 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.3 power-on-hour (poh) limits (1) (2) (3) commercial temperature range extended temperature range automotive temperature range junction temp (tj) lifetime (poh) junction temp (tj) lifetime (poh) junction temp (tj) lifetime (poh) 0 c to 90 c 100000 -40 c to 105 c 100000 automotive profile (4) 20000 (1) this information is provided solely for your convenience and does not extend or modify the warranty provided under ti ' s standard terms and conditions for ti semiconductor products. (2) unless specified in the table above, all voltage domains and operating conditions are supported in the device at the noted temperatures. (3) poh is a function of voltage, temperature and time. usage at higher voltages and temperatures will result in a reduction in poh. (4) automotive profile is defined as 20000 power on hours with a junction temperature as follows: 5%@-40 c, 65%@70 c, 20%@110 c, and 10%@125 c. 5.4 recommended operating conditions over operating junction temperature range (unless otherwise noted) (4) min (1) nom max (1) unit input power supply voltage range cvdd core voltage domain supply device speed 60 0.855 0.9 0.945 v device speed 100 0.95 1 1.05 v cvdd1 core memory array power supply device speed 60 0.855 0.9 0.945 v device speed 100 0.95 1 1.05 v vpp2 (3) supply voltage range for the efuse rom domain tbd tbd tbd v avdda_ddrpll ddr pll supply 1.71 1.80 1.89 v avdda_dsspll dss pll supply 1.71 1.80 1.89 v avdda_mainpll core pll supply 1.71 1.80 1.89 v avdda_nsspll nss pll supply 1.71 1.80 1.89 v avdda_uartpll uart pll supply 1.71 1.80 1.89 v avdda_icsspll icss pll supply 1.71 1.80 1.89 v avdda_armpll arm pll supply 1.71 1.80 1.89 v dvdd_ddrdll ddr emif phy dll supply 1.71 1.80 1.89 v vddahv pcie serdes 1.8-v supply 1.71 1.80 1.89 v dvdd_ddr ddr emif i/o supply 1.28 1.35 1.42 v dvdd18 1.8 v i/o supply 1.71 1.80 1.89 v dvdd33 3.3 v i/o supply 3.135 3.3 3.465 v dvdd33_usb usb 3.3-v supply 3.135 3.3 3.465 v ddr3_vrefsstl ddr emif reference input 0.49 dvdd_ddr 0.5 dvdd_ddr 0.51 dvdd_ddr v usb0_vbus usb0 vbus comparator input 0 5.0 5.25 v usb1_vbus usb1 vbus comparator input 0 5.0 5.25 v usb0_id (5) usb1_id (5) t j (2) operating junction temperature range automotive ? 40 125 c extended ? 40 105 commercial 0 90 (1) the voltage at the device ball must never be below the min voltage or above the max voltage for any amount of time. this requirement includes dynamic voltage events such as ac ripple, voltage transients, voltage dips, and so forth. (2) refer to section 5.3 , power-on-hour (poh) limits (3) the vpp2 power source shall only be enabled while programming the customer otp efuse array and shall be disabled during power- up sequence, normal operation, and power-down sequence. when disabled, the power source shall not source current to, or sink current from the vpp2 terminal. (4) all voltage values are with respect to vss, unless otherwise noted. (5) this terminal is connected to analog circuits in the respective usb phy. the circuit sources a known current while measuring voltage of this terminal relative to vss. this allows the usb phy to measure resistance of the attached id signal. the terminal should never be advance information
88 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated recommended operating conditions (continued) over operating junction temperature range (unless otherwise noted) (4) connected to any external voltage source. 5.5 operating performance points this section describes the operating conditions of the device. this section also contains the description of each operating performance point for processor clocks and device core clocks. table 5-1 describes the standard processor clock-speed characteristics versus the operating performance point of the device. table 5-1. supported max frequency maximum frequency subsystem (pll output) (mhz) arm a15 c66x ddr emif (arm_pllout) (chip_clk1) (ddr_pllout) device speed 60 600 600 400 (ddr3-800) device speed 100 1000 1000 533 (ddr3-1066) 5.6 power consumption summary power consumption of this device depends on several operating parameters such as operating voltages, frequencies, and temperature. power consumption also varies by end applications that determine the overall processor, mpu/dsp, and peripheral activity. for more specific power consumption details, see 66ak2g12 power estimation tool [literature number spracd9 ]. this document references a spreadsheet for estimating power based on parameters that closely resemble the end application to generate a realistic estimate of power consumption based on use-case and operating conditions. 5.7 electrical characteristics note the interfaces or signals described in table 5-2 through table 5-8 correspond to the interfaces or signals available in multiplexing mode 0 (primary function). all interfaces or signals multiplexed on the balls described in these tables have the same dc electrical characteristics, unless multiplexing involves a phy and gpio combination, in which case different dc electrical characteristics are specified for the different multiplexing modes (functions). table 5-2. ddr3l hstl dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) parameter test conditions min typ max unit ball names in muxmode 0 (single-ended signals) (driver mode): ddr3_dqm[3:0], ddr3_cb[03:00], ddr3_cbdqm, ddr3_d[31:00], ddr3_cen0, ddr3_ba[2:0], ddr3_a[15:00], ddr3_casn, ddr3_rasn, ddr3_wen, ddr3_cke0, ddr3_odt0, ddr3_resetn ball numbers: ab4 , aa5 , ac8 , aa9 , aa11 , ab11 , ac11 , ac12 , y11 , ad2 , y4 , ac3 , ac2 , ae3 , aa4 , ad3 , ab3 , aa6 , y7 , y6 , ac5 , ab6 , y5 , ac4 , ab5 , ab7 , ab8 , ac7 , aa7 , aa8 , ac6 , ae7 , ad7 , aa10 , ae10 , ad10 , ac10 , ac9 , ab10 , ab9 , y8 , ad13 , aa14 , ab13 , ad17 , ac15 , y15 , ac16 , aa15 , ab16 , ae17 , ac14 , ab15 , ac17 , ab17 , ab14 , aa16 , aa17 , aa12 , y17 , y16 , ac13 , ae13 , y13 , ab18 , aa13 , y18 v oh high-level output voltage dvdd_ddr = 1.35v (i oh = -8 ma) dvdd_ddr ? 0.4 v v ol low-level output voltage dvdd_ddr = 1.35v (i ol = 8 ma) 0.4 v c pad pad capacitance (including package capacitance) 3.44 pf advance information
89 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-2. ddr3l hstl dc electrical characteristics (continued) over recommended operating conditions (unless otherwise noted) (1) parameter test conditions min typ max unit ball names in muxmode 0 (single-ended signals) (receiver mode): ddr3_cb[03:00], ddr3_d[31:00] ball numbers: aa11 , ab11 , ac11 , ac12 , ad2 , y4 , ac3 , ac2 , ae3 , aa4 , ad3 , ab3 , aa6 , y7 , y6 , ac5 , ab6 , y5 , ac4 , ab5 , ab7 , ab8 , ac7 , aa7 , aa8 , ac6 , ae7 , ad7 , aa10 , ae10 , ad10 , ac10 , ac9 , ab10 , ab9 , y8 v ih high-level input voltage dvdd_ddr = 1.35v ddr3_vrefsstl + 0.09 v v il low-level input voltage dvdd_ddr = 1.35v ddr3_vrefsstl ? 0.09 v c pad pad capacitance (including package capacitance) 3.44 pf ball names in muxmode 0 (differential signals) (driver mode) (single-ended receiver mode): ddr3_dqs0_p, ddr3_dqs0_n, ddr3_dqs1_p, ddr3_dqs1_n, ddr3_dqs2_p, ddr3_dqs2_n, ddr3_dqs3_p, ddr3_dqs3_n, ddr3_clkout_p0, ddr3_clkout_n0, ddr3_clkout_p1, ddr3_clkout_n1, ddr3_cbdqs_p, ddr3_cbdqs_n ball numbers: ad1 , ae2 , ad4 , ae4 , ae6 , ad6 , ae9 , ad9 , ae15 , ad15 , ae16 , ad16 , ae12 , ad12 v oh high-level output threshold i oh = -0.1 ma 0.8 dvdd_ddr v v ol low-level output threshold i ol = 0.1 ma 0.2 dvdd_ddr v c pad pad capacitance (including package capacitance) 3.3 3.35 3.44 pf z o output impedance (drive strength) 34 50 ball names in muxmode 0 (differential signals) (receiver mode): ddr3_dqs0_p, ddr3_dqs0_n, ddr3_dqs1_p, ddr3_dqs1_n, ddr3_dqs2_p, ddr3_dqs2_n, ddr3_dqs3_p, ddr3_dqs3_n, ddr3_clkout_p0, ddr3_clkout_n0, ddr3_clkout_p1, ddr3_clkout_n1, ddr3_cbdqs_p, ddr3_cbdqs_n ball numbers: ad1 , ae2 , ad4 , ae4 , ae6 , ad6 , ae9 , ad9 , ae15 , ad15 , ae16 , ad16 , ae12 , ad12 v swing input voltage swing dvdd_ddr = 1.35v dvdd_ddr + 0.4 v v cm input common-mode voltage vrefsstl ? (0.1 x dvdd_ddr) vrefsstl + (0.1 x dvdd_ddr) v c pad pad capacitance (including package capacitance) 3.3 3.35 3.44 pf (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. table 5-3. i2c open drain dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit ball names in mode 0: i2c0_scl, i2c0_sda, i2c1_scl, i2c1_sda, i2c2_scl, i2c2_sda ball numbers: u5 , w5 , v6 , w4 , v5 , v4 (i 2 c standard mode / fast mode ? 3.3 v) v ih high-level input voltage 0.7 vdds (2) v v il low-level input voltage 0.3 vdds (2) v v hys hysteresis 0.05 vdds (2) ? v i in input leakage current tbd tbd a v ol low-level output voltage at 3-ma sink current 0.4 v (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. (2) vdds in this table stands for corresponding power supply. for more information on the power supply name and the corresponding ball, see table 4-1 , power [11] column. advance information
90 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-4. analog osc buffers dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) (3) parameter min typ max unit 1.8-v mode ball names in mode 0: audosc_in, sysosc_in ball numbers: c17 , ac19 v ih input high-level threshold 0.65 vdds (2) v v il input low-level threshold 0.35 vdds (2) v (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. (2) vdds in this table stands for corresponding power supply. for more information on the power supply name and the corresponding ball, see table 4-1 , power [11] column. (3) this table only defines input characteristics of the oscillator when being used with an lvcmos clock source. table 5-5. lvds input buffer dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit 1.8-v mode ball names in mode 0: sysclk_p, sysclk_n, ddr_clk_n, ddr_clk_p, cpts_refclk_n, cpts_refclk_p ball numbers: ad25 , ac25 , ad24 , ae24 v cm common mode input voltage 0.1 vdds (2) ? 0.1 v v ih differential input high voltage 100 mv v il differential input low voltage -100 mv c pad pad capacitance (including package capacitance) 5 pf (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. (2) vdds in this table stands for corresponding power supply. for more information on the power supply name and the corresponding ball, see table 4-1 , power [11] column. table 5-6. lvds output buffer dc electrical characteristics over recommended operating conditions (unless otherwise noted) parameter min typ max unit 1.8-v mode ball names in mode 0: obsclk_n, obsclk_p ball numbers: l21 , k21 v cm common mode output voltage tbd tbd v v oh , differential output high voltage tbd mv v ol , differential output low voltage tbd mv table 5-7. mlb lvds buffers dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit 1.8-v mode ball names in mode 0: mlbp_sig_p, mlbp_sig_n, mlbp_dat_p, mlbp_dat_n, mlbp_clk_p, mlbp_clk_n ball numbers: l24 , m24 , k23 , k22 , m23 , l23 v ih differential input high voltage 50 mv v il differential input low voltage -50 mv advance information
91 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-7. mlb lvds buffers dc electrical characteristics (continued) over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit v od differential output voltage (measured with 50 resistor between positive and negative pads) 300 500 mv v cm common mode output voltage 1.0 1.5 v c pad pad capacitance (including package capacitance) tbd pf (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. table 5-8. lvcmos dc electrical characteristics over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit 1.8-v mode ball names: all others ball numbers: all others v ih input high-level threshold 0.65 vdds (2) v v il input low-level threshold 0.35 vdds (2) v v hys input hysteresis voltage 228 260 mv v oh output high-level threshold (i oh = - 2 ma) vdds (2) ? 0.45 v v ol output low-level threshold (i ol = 2 ma) 0.45 (3) v i drive pin drive strength at pad voltage = 0.45v or vdds - 0.45v 7 ma i in input current at each i/o pin 3 a i oz i oz (i pad current) for bidi cell. this current is contributed by the tristated driver leakage + input current of the rx + weak pullup or pulldown leakage. pad is swept from vss to vdds and the max(i (pad) ) is measured and is reported as i oz . 3 a i in with pulldown enabled input current at each i/o pin with weak pulldown enabled measured when pad = vdds 50 120 210 a i in with pullup enabled input current at each i/o pin with weak pullup enabled measured when pad = vss 60 120 200 a c pad pad capacitance (including package capacitance) 4 pf z o output impedance (drive strength) 40 3.3-v mode v ih input high-level threshold 2 (3) v v il input low-level threshold 0.8 (4) v v hys input hysteresis voltage 200 mv v oh output high-level threshold (i oh = 100 a) vdds (2) ? 0.2 v v ol output low-level threshold (i ol = 100 a) 0.2 (3) v i drive pin drive strength at pad voltage = 0.45v or vdds - 0.45v 6 ma i in input current at each i/o pin 10 a i oz i oz (i pad current) for bidi cell. this current is contributed by the tristated driver leakage + input current of the rx + weak pullup or pulldown leakage. pad is swept from vss to vdds and the max(i (pad) ) is measured and is reported as i oz . 10 a i weak pu/pd weak pull-up/ pull-down current (when pad = vdds for weak pull down and pad = vss for weak pullup) 100 a i leakage leakage current(bidi) 80 a i in with pulldown enabled input current at each i/o pin with weak pulldown enabled measured when pad = vdds 50 120 210 a advance information
92 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-8. lvcmos dc electrical characteristics (continued) over recommended operating conditions (unless otherwise noted) (1) parameter min typ max unit i in with pullup enabled input current at each i/o pin with weak pullup enabled measured when pad = vss 60 120 200 a c pad pad capacitance (including package capacitance) 4 pf z o output impedance (drive strength) 40 50 (1) for more information on the i/o cell configurations, see section pad configuration registers in section control module (boot_cfg) of chapter device configuration of the device trm. (2) vdds in this table stands for corresponding power supply. for more information on the power supply name and the corresponding ball, see table 4-1 , power [11] column. (3) meets the 1.8v jedec specification. (4) meets the 3.3v jedec specification. 5.7.1 usb0_phy and usb1_phy dc electrical characteristics note usb0 and usb1 electrical characteristics are compliant with universal serial bus revision 2.0 specification dated april 27, 2000 including ecns and errata as applicable. 5.7.2 pcie serdes dc electrical characteristics note the pcie interfaces are compliant with the electrical parameters specified in pci express ? base specification revision 2.0. advance information
93 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.8 thermal resistance characteristics for aby package this section provides the thermal resistance characteristics for the aby package used on this device. the thermal design guide for dsp and arm application processors application report (sprabi3) available from http://www.ti.com/lit/pdf/sprabi3 provides guidance for successful implementation of a thermal solution for system designs containing this device. this document provides background information on common terms and methods related to thermal solutions. ti only supports designs that follow system design guidelines contained in the application report. for reliability and operability concerns, the maximum junction temperature of the device has to be at or below the t j value identified in section 5.4 recommended operating conditions . 5.9 thermal resistance characteristics for aby package it is recommended to perform thermal simulations at the system level with the worst case device power consumption (3) . no. name description aby c/w (1) (4) air flow (m/s) (2) t1 r jc junction-to-case 0.3 n/a t2 r jb junction-to-board 4.2 n/a t3 r ja junction-to-free air 14.2 0.0 t4 r jma junction-to-moving air 9.1 1.0 t5 8.2 2.0 t6 7.7 3.0 t7 jt junction-to-package top 0.2 0.0 t8 0.2 1.0 t9 0.2 2.0 t10 0.2 3.0 t11 jb junction-to-board 3.9 0.0 t12 3.4 1.0 t13 3.3 2.0 t14 3.2 3.0 (1) these values were derived from thermal simulations using 1w of power dissipation and an ambient temperature of 25 c following methods defined in the standards listed below. these values may not represent actual use conditions of the device. the following standards define test methods used to derive ja, jma, jb and jc: ? jesd51-2: integrated circuits thermal test method environmental conditions ? natural convection (still air) ? jesd51-6: integrated circuits thermal test method environmental conditions ? force convection (moving air) ? jesd51-8: integrated circuits thermal test method environmental conditions ? junction-to-board ? semi g30-88: junction-to-case thermal resistance measurements of ceramic packages the following standard defines the test board used in above tests: ? jesd51-9: test boards for area array surface mount package thermal measurement (2) m/s = meters per second. (3) for more information about traditional and new thermal metrics, see the semiconductor and ic package thermal metrics application report, spra953 . (4) c/w = degrees celsius per watt. advance information
94 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10 timing and switching characteristics the timing parameter symbols used in section 5.10 are created in accordance with jedec standard 100. to shorten the symbols, some pin names and other related terminologies have been abbreviated in table 5-9 : table 5-9. timing parameters subscripts symbol parameter c cycle time (period) d delay time dis disable time en enable time h hold time su setup time start start bit t transition time v valid time w pulse duration (width) x unknown, changing, or don't care level f fall time h high l low r rise time v valid iv invalid ae active edge fe first edge le last edge z high impedance advance information
95 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.1 power supply sequencing this section describes the power-up and power-down sequences required to ensure proper device operation. the power supply names described in this section comprise a superset of a family of compatible devices. some members of this family will not include a subset of these power supplies and their associated device modules. refer to section 4.2 , pin attributes of the section 4 , terminal configuration and functions to determine which power supplies are applicable. 5.10.1.1 power-up sequence figure 5-3 describes the power-down sequencing of the device. figure 5-3. power-up sequencing (1) power-up begins by asserting porn and applying dvdd33 first. (2) porn shall be asserted before the power-up sequence begins and held until all power supplies are within their specified recommended operating range. (3) oscillator power-up time defines where sysosc may start oscillation and the time required for oscillation to become stable, which is a function the crystal circuit components selected. (4) bootmode pins are synchronously latched after the rising edge of porn using sysosc_in or sysclk_p / n with setup and hold timing requirements defined by boot configuration timing requirements. (5) resetstatn and bootcomplete are outputs and only shown for informational purposes. (6) sysosc_in or sysclk_p/n reference clock shall be valid at least 2ms before porn is released. (7) if externally sourced, must be present prior to porn. (8) the vpp2 power source shall only be enabled while programming the customer otp efuse array and shall be disabled during power- up sequence, normal operation, and power-down sequence. when disabled, the power source shall not source current to, or sink current from the vpp2 terminal. (9) the sysclksel must be driven to the appropriate and valid logic level at least 500ns before the rising edge of porn, then held at the same logic level as long as the device is operational. advance information power up sequence \ boot up process \ active mode note 2 dvdd33, dvdd33_usb dvdd18, avdda_ddrpll, avdda_dsspll, avdda_mainpll, avdda_nsspll, avdda_uartpll, avdda_icsspll, avdda_armpll, dvdd_ddrdll, and vddahv dvdd_ddr cvdd, cvdd1 porn sysosc_in sysclk_p/n bootmode[15:0], noddr, mainpll_od_sel resetstatn bootcomplete note 1 note 3 note 4 ... note 6 note 7 ddr_clk_p / ddr_clk_n ... vpp2 note 8 sysclksel note 9 sprs932_elch_01 hi-z hi-z or driven
96 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.1.2 power-down sequence the power-up sequence shall be reversed for the power-down sequence. ? assert porn while all power supplies are still valid. ? remove voltage sources connected to non-fail-safe inputs. ? continue to hold porn low and dvdd33 valid while other power supplies decay. ? continue to hold porn low while dvdd33 decays. figure 5-4 describes the power-down sequencing of the device. figure 5-4. power-down sequencing active mode dvdd33, dvdd33_usb dvdd18, avdda_ddrpll, avdda_dsspll, avdda_mainpll, avdda_nsspll, avdda_uartpll, avdda_icsspll, avdda_armpll, dvdd_ddrdll, and vddahv dvdd_ddr cvdd, cvdd1 porn sysosc_in sysclk_p/n bootmode[15:0], noddr, mainpll_od_sel resetstatn bootcomplete ddr_clk_p / ddr_clk_n power down sequence \ vpp2 sysclksel sprs932_elch_02 hi-z hi-z or driven advance information
97 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.2 reset timing 5.10.2.1 reset electrical data/timing for more details about features and additional description information on the subsystem multiplexing signals, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-10 , table 5-11 , figure 5-5 , and figure 5-6 present the reset timing requirements and switching characteristics. table 5-10. reset timing requirements no. parameter min max unit porn pin rst1 t w(porn) pulse width - pulse width porn low 500c (1) ns resetn pin rst2 t w(resetn) pulse width - pulse width resetn low 500c (1) ns (1) c = 1/sysclk1 in ns. sysclk1 clock is sourced from the main pll. table 5-11. reset switching characteristics no. parameter min max unit porn pin rst3 t d(cvdd - porn) delay time - porn high after cvdd/cvdd1 ramped 2 ms rst4 t d(porn - resetstatn) delay time - resetstatn high after porn high 50000c (1) ns resetn pin rst5 t d(resetn- resetstatn) delay time - resetstatn high after resetn high 50000c (1) ns (1) c = 1/sysclk1 in ns. sysclk1 clock is sourced from the main pll. figure 5-5. porn reset timing figure 5-6. soft/hard reset timing resetn porn resetstatn rst1 rst4 resetn porn resetstatn rst2 rst5 advance information
98 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-12 and figure 5-7 present the boot configuration timing requirements. table 5-12. boot configuration timing requirements no. parameter min max unit bc1 t su(bootmode-porn) setup time ? bootmode valid before porn asserted 12c (1) ns bc2 t h(porn-bootmode) hold time ? bootmode valid after porn asserted 12c (1) ns (1) c = 1/sysclk1 in ns. sysclk1 clock is sourced from the main pll. figure 5-7. boot configuration timing 5.10.3 clock specifications 5.10.3.1 input clocks / oscillators various external clock sources are required as timing references for the device. specific clock requirements are based on use cases supported by the application. summary of these input clock signals are: ? sysosc_in / sysosc_out - system oscillator (sysosc) pins. sysosc is used to source the system reference clock (sys_oscclk) when the sysclksel pin is low. the sysosc pins can be connected to the appropriate external crystal circuit or the oscillator can be bypassed when using an lvcmos clock source connected to the sysosc_in pin. note when connecting sysosc_in to an lvcmos clock source, the lvcmos clock source output must be disabled anytime sysosc is disabled since sysosc_in has a strong internal pull-down resistor which is turned on when sysosc is disabled. ? sysclk_p / sysclk_n - optional system clock lvds differential input. this input is used to source the system reference clock (sys_oscclk) when the sysclksel pin is high. ? ddr_clk_p / ddr_clk_n - optional ddr/emif clock lvds differential input. this input is used to produce a ddr pll reference clock (ddr_clk) when the ddr_clk_muxsel bit is high. ? audosc_in / audosc_out - optional audio oscillator (audioosc) pins. audioosc can be used to produce an audio reference clock (audio_oscclk) which is one of several clock options for the mcasps and mcbsp. when used, audioosc can be connected to the appropriate external crystal circuit or the oscillator can be bypassed when using an lvcmos clock source connected to the audosc_in pin. note when connecting audosc_in to an lvcmos clock source, the lvcmos clock source output must be disabled anytime audosc is disabled since audosc_in has a strong internal pull-down resistor which is turned on when audioosc is disabled. this requires the lvcmos clock source to be disabled by default and output enable controlled by software via a general purpose output since audioosc is disabled by default. ? pcie_clk_p / pcie_clk_n - pcie reference clock lvds differential input. bc1 porn bootmode[15:0] bc2 advance information
99 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated ? usb0_xo / usb1_xo - optional usb phy reference clock. ? cpts_refclk_p / cpts_refclk_n - cpts reference clock lvds differential input. figure 5-8 shows the external input clock sources to peripherals. figure 5-8. input clocks interface for more information related to clock inputs, see section clock management in chapter device configuration of the device trm. advance information device porn sysclk_p sysclksel audosc_in pcie_clk_n selects main pll output divide-by-2 system oscillator (sysosc) pins, typically connected toan external crystal circuit. resetn system clock select input sysclk_n optional system reference clock input. sysosc_in sysosc_out resetfulln device warm reset input device cold reset input bootmode[15:0] ddr_clk_p ddr_clk_n audosc_out pcie_clk_p mainpll_od_sel power on reset input sprs932_clock_01 optional ddr / emif clock input. optional audio oscillator (audioosc) pins, typically connected to an external crystal circuit when used. boot mode configuration / devices select inputs pcie reference clock input usb0_xo usb1_xo cpts_refclk_p cpts_refclk_n optional usb0 phy reference clock input cpts reference clock input optional usb1 phy reference clock input xrefclk optional audio reference clock input
100 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.3.1.1 system oscillator (sysosc) with external crystal circuit figure 5-9 shows the recommended crystal circuit. it is recommended that preproduction printed-circuit board (pcb) designs include the optional resistor rd in case a damping resistor is required for proper oscillator operation when combined with production crystal circuit components. in most cases, rd is a 0- resistor. this resistor may be removed from production pcb designs after evaluating oscillator performance with production crystal circuit components installed on preproduction pcbs. the sysosc_in terminal has a 400- to tbd-k internal pull-down resistor which is enabled when sysosc is disabled. this internal resistor prevents the sysosc_in terminal from floating to an invalid logic level which may increase leakage current through the oscillator input buffer. figure 5-9. crystal implementation (1) (1) rd=0 ? for no damping case. note the load capacitors, c f1 and c f2 in figure 5-9 , should be chosen such that the below equation is satisfied. c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the sysosc_in and sysosc_out pins. figure 5-10. load capacitance equation device sysosc_out sysosc_in c f1 crystal rd c f2 (optional) sprs932_clock_02 vss_osc_sys c l = c c f1 2f (c +c ) f1 f2 sprs932_clock_03 advance information
101 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated the crystal must be in the fundamental mode of operation and parallel resonant. table 5-13 summarizes the required electrical constraints. table 5-13. sysosc crystal circuit requirements (2) name description min typ max unit f c parallel resonance crystal frequency 19.2, 24, 25, 26 mhz c f1 c f1 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf c f2 c f2 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf esr(c f1 ,c f2 ) crystal esr 65 c o crystal shunt capacitance 4 pf f a(sysosc_in) frequency accuracy (1) , sysosc_in 50 ppm (1) frequency accuracy should include all components of frequency error - initial frequency tolerance, frequency stability across worst case environmental conditions, and frequency shifts due to aging. (2) it may be difficult to find a crystal that meets all of the requirements defined in this table when searching commonly available crystal data sheets. most commonly available crystal data sheets are non-part number specific and publish worst case parameters for all crystal within the family or series. for example, the data sheet may publish a single value for esr and shunt capacitance which represents the worst case value for every part number within the series. however, these values may be much lower for higher frequency crystals within the series. the recommended approach is to search non-part number specific data sheets to identify a few candidates that meet your specific system requirements along with the requirement defined in this table. once a few candidates have been identified, contact the respective crystal manufacture and request part number specific data sheets to validate each crystal specific parameter meets all requirements when selecting a crystal, the system designer must consider the temperature and aging characteristics of a crystal based on the worst case environment and life expectancy of the system. 5.10.3.1.2 system oscillator (sysosc) with external lvcmos clock source the internal oscillator may be bypassed by connecting to an lvcmos clock source as shown in figure 5- 11 . the sysosc_in pin is connected to the lvcmos-compatible clock source. the sysosc_out pin is left unconnected. the vss_osc_sys pin is connected to board ground (vss). figure 5-11. lvcmos-compatible clock input table 5-14 details the sysosc_in input clock timing requirements. table 5-14. sysosc_in input clock timing requirements name description min typ max unit ck0 f c(sysosc_in) frequency, sysosc_in 19.2, 24, 25, 26 mhz ck1 t w(sysosc_in) pulse duration, sysosc_in low or high 1/(2.22 x f c(sysosc_in) ) 1/(1.82 x f c(sysosc_in) ) ns advance information vss_osc_sys device sysosc_out sysosc_in nc sprs932_clock_06
102 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-14. sysosc_in input clock timing requirements (continued) name description min typ max unit t j(sysosc_in) period jitter (1) , sysosc_in tbd ps t r(sysosc_in) rise time, sysosc_in 5 ns t f(sysosc_in) fall time, sysosc_in 5 ns f a(sysosc_in) frequency accuracy (2) , sysosc_in 50 ppm (1) period jitter is meant here as follows: ? the maximum value is the difference between the longest measured clock period and the expected clock period ? the minimum value is the difference between the shortest measured clock period and the expected clock period (2) lvcmos clock source frequency accuracy should include all components of frequency error - initial frequency tolerance, frequency stability across worst case environmental conditions, and frequency shifts due to aging. figure 5-12. sysosc_in input clock 5.10.3.1.3 external differential input clock the optional lvds-compatible clock input can be used instead of the internal oscillator to provide the system reference clock. the external connections to support this are shown in figure 5-13 . the sysclk_p and sysclk_n pins are connected to the lvds-compatible clock source. figure 5-13. lvds-compatible clock input table 5-15 summarizes the sysclk_p/n input clock electrical characteristics. table 5-15. sysclk_p/n input clock electrical characteristics ? bypass mode name description min typ max unit f frequency 19.2, 24, 25, 26 mhz c in input capacitance tbd tbd tbd pf i in input current tbd tbd tbd a device sysclk_p sprs932_clock_04 sysclk_n sysosc_in ck0 ck1 ck1 sprs932_clock_07 advance information
103 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-16 details the sysclk_p/n input clock requirements. table 5-16. sysclk_p/n input clock requirements name description min typ max unit ck0 f c(sysclk_p/n) frequency, sysclk_p/n 19.2, 24, 25, 26 mhz ck1 t w(sysclk_p/n) pulse duration, sysclk_p/n low or high 1/(2.22 x f c(sysclk_p/n) ) 1/(1.82 x f c(sysclk_p/n) ) ns t j(sysclk_p/n) period jitter (1) , sysclk_p/n 100 ps t r(sysclk_p/n) rise time, sysclk_p/n tbd ns t f(sysclk_p/n) fall time, sysclk_p/n tbd ns f a(sysclk_p/n) frequency accuracy (2) , sysclk_p/n tbd ppm (1) period jitter is meant here as follows: ? the maximum value is the difference between the longest measured clock period and the expected clock period ? the minimum value is the difference between the shortest measured clock period and the expected clock period (2) frequency accuracy should include all components of frequency error - initial frequency tolerance, frequency stability across worst case environmental conditions, and frequency shifts due to aging. figure 5-14. sysclk_p/n input clock 5.10.3.2 optional audio oscillator (audosc) with external crystal circuit figure 5-15 shows the recommended crystal circuit. it is recommended that preproduction printed-circuit board (pcb) designs include the optional resistor rd in case a damping resistor is required for proper oscillator operation when combined with production crystal circuit components. in most cases, rd is a 0- resistor. this resistor may be removed from production pcb designs after evaluating oscillator performance with production crystal circuit components installed on preproduction pcbs. the audosc_in terminal has a 400- to tbd-k internal pull-down resistor which is enabled when audosc is disabled. this internal resistor prevents the audosc_in terminal from floating to an invalid logic level which may increase leakage current through the oscillator input buffer. advance information sysclk_p ck0 ck1 ck1 sprs932_clock_05 sysclk_n
104 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-15. crystal implementation (1) (1) rd=0 ? for no damping case. note the load capacitors, c f1 and c f2 in figure 5-15 , should be chosen such that the below equation is satisfied. c l in the equation is the load specified by the crystal manufacturer. all discrete components used to implement the oscillator circuit should be placed as close as possible to the audosc_in and audosc_out pins. figure 5-16. load capacitance equation c l = c c f1 2f (c +c ) f1 f2 sprs932_clock_03 device audosc_out audosc_in c f1 crystal rd c f2 (optional) sprs932_clock_08 vss_osc_sys advance information
105 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated the crystal must be in the fundamental mode of operation and parallel resonant. table 5-17 summarizes the required electrical constraints. table 5-17. audosc crystal circuit requirements name description min typ max unit f c parallel resonance crystal frequency 11.2896 ? 49.152 mhz c f1 c f1 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf c f2 c f2 load capacitance for crystal parallel resonance with c f1 = c f2 12 24 pf esr(c f1 ,c f2 ) crystal esr 11.2896 mhz - 15 mhz 100 15 mhz ? 30 mhz 65 30 mhz ? 40 mhz 50 40 mhz - 49.152 mhz 30 c o crystal shunt capacitance 4 pf f a(audosc_in) frequency accuracy (1) , audosc_in 50 ppm (1) frequency accuracy should include all components of frequency error - initial frequency tolerance, frequency stability across worst case environmental conditions, and frequency shifts due to aging. (2) it may be difficult to find a crystal that meets all of the requirements defined in this table when searching commonly available crystal data sheets. most commonly available crystal data sheets are non-part number specific and publish worst case parameters for all crystal within the family or series. for example, the data sheet may publish a single value for esr and shunt capacitance which represents the worst case value for every part number within the series. however, these values may be much lower for higher frequency crystals within the series. the recommended approach is to search non-part number specific data sheets to identify a few candidates that meet your specific system requirements along with the requirement defined in this table. once a few candidates have been identified, contact the respective crystal manufacture and request part number specific data sheets to validate each crystal specific parameter meets all requirements when selecting a crystal, the system designer must consider the temperature and aging characteristics of a crystal based on the worst case environment and life expectancy of the system. 5.10.3.3 optional audio oscillator (audosc) with external lvcmos clock source the internal oscillator may be bypassed by connecting to an lvcmos clock source as shown in figure 5- 17 . the audosc_in pin is connected to the lvcmos-compatible clock source. the audosc_out pin is left unconnected. the vss_osc_sys pin is connected to board ground (vss). figure 5-17. lvcmos-compatible clock input table 5-18 details the audosc_in input clock timing requirements. table 5-18. audosc_in input clock timing requirements name description min typ max unit ck0 f c(audosc_in) frequency, audosc_in 11.2896 ? 49.152 mhz advance information vss_osc_sys device audosc_out audosc_in nc sprs932_clock_09
106 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-18. audosc_in input clock timing requirements (continued) name description min typ max unit ck1 t w(audosc_in) pulse duration, audosc_in low or high 1/(2.22 x f c(audosc_in) ) 1/(1.82 x f c(audosc_in) ) ns t j(audosc_in) period jitter (1) , audosc_in tbd ps t r(audosc_in) rise time, audosc_in 5 ns t f(audosc_in) fall time, audosc_in 5 ns f a(audosc_in) frequency accuracy (1) , audosc_in 50 ppm (1) period jitter is meant here as follows: ? the maximum value is the difference between the longest measured clock period and the expected clock period ? the minimum value is the difference between the shortest measured clock period and the expected clock period (2) lvcmos clock source frequency accuracy should include all components of frequency error - initial frequency tolerance, frequency stability across worst case environmental conditions, and frequency shifts due to aging. figure 5-18. audosc_in input clock 5.10.3.4 output clocks the device provides several system clock outputs. summary of these output clock outputs are as follows: ? clkout ? clkout port provides an option to output 50 mhz or 25 mhz clock. this clock can be used as a reference clock for rmii or mii ethernet companion devices. ? sysclkout ? sysclkout is an lvcmos clock output of the internal clock sysclk1 which has been divided by 6. this output is provided for test and debug purposes only. performance of this output is not defined due to many complex combinations of system variables. for example, this output is being sourced from the main pll supporting many configuration options that yield various levels of performance. there are also other unpredictable contributors to performance such as application specific noise or crosstalk which may couple into the clock circuits. therefore, there are no plans to specify performance for this output. ? obsclk ? obsclk_n / obsclk_p is an lvds clock output that can be configured to observe one of 9 internal clocks. this output is provided for test and debug purposes only. performance of this output is not defined due to many complex combinations of system variables. for example, this output may be sourced from several plls with each pll supporting many configuration options that yield various levels of performance. there are also other unpredictable contributors to performance such as application specific noise or crosstalk which may couple into the clock circuits. therefore, there are no plans to specify performance for this output. audosc_in ck0 ck1 ck1 sprs932_clock_10 advance information
107 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.3.5 plls power is supplied to the pll by internal regulators that derive power from the off-chip power-supply. there are total seven phase locked loops (plls) in the device: ? main_pll with pll_controller: (soc, peripherals) the main pll ? which is used to drive the switch fabrics, accelerators, and a majority of the peripheral clocks ? requires a pll controller to manage the various clock divisions, gating, and synchronization. ? arm_pll: the arm pll, which is used to drive the arm. ? dss_pll: (display subsystem) the dss pll, which is used to drive the dss. ? uart_pll: (icss uart) the uart pll, which is used to drive the uart in icss, qspi, mmc/sd and usb. ? icss_pll: (icss prus) the icss pll, which is used to drive the icss. ? nss/iep_pll: (nss, icss) the nss/iep pll, which is used to drive the nss_l and icss. ? ddr_pll: (ddr emif / ddr phy) the ddr pll is used to drive the ddr emif phy for the ddr emif. most of the device is driven by the output from the main pll except the following items: ? arm subsystem has its own dedicated pll driven by the output of the sysclksel mux. ? ddr subsystem has its own dedicated pll to drive ddr emif and ddr emif phy. ? icss has clocks from several plls - uart_pll to generate constant 192 mhz clock, icss_pll to generate 225 mhz core clock and nss/iep_pll to generate 200 mhz icss core clock and 250 mhz ethernet clocks. ? dss has its own dedicated pll, to generate pixel clock. ? pciess require separate ref clocks to drive serdes phys. ? usb supports optional external ref clock input. note for more information, see: ? device configuration / clock management / plls section ? peripherals / display subsystem overview section of the device trm. note the input reference clocks (sysclk_p/n or sysosc_in/out) are specified and the lock time is guaranteed by the pll controller, as documented in the device configuration chapter of the device trm. advance information
108 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.3.5.1 ddr_pll settings table 5-19 lists the recommended and supported values to set up the ddr3-800 configurations. table 5-19. ddr3-800 configurations parameter value configuration register register value configuration 1 reference clock input 19.2 mhz n/a n/a pll reference divider 1 bootcfg_ddr3a_pll_ctl0[5-0] plld 0 pll multiplier 167 bootcfg_ddr3a_pll_ctl0[18-6] pllm 166 pll output divider 16 bootcfg_ddr3a_pll_ctl0[22-19] clkod 15 phy pll frequency select (in ddr3 initialization) n/a ddr_phy_pllcr[19-18] frqsel 0x3 phy pll charge pump proportional current control (in ddr3 initialization) n/a ddr_phy_pllcr[16-13] cppc 0xe configuration 2 reference clock input 24 mhz n/a n/a pll reference divider 1 bootcfg_ddr3a_pll_ctl0[5-0] plld 0 pll multiplier 133 bootcfg_ddr3a_pll_ctl0[18-6] pllm 132 pll output divider 16 bootcfg_ddr3a_pll_ctl0[22-19] clkod 15 phy pll frequency select (in ddr3 initialization) n/a ddr_phy_pllcr[19-18] frqsel 0x3 phy pll charge pump proportional current control (in ddr3 initialization) n/a ddr_phy_pllcr[16-13] cppc 0xe configuration 3 reference clock input 25 mhz n/a n/a pll reference divider 1 bootcfg_ddr3a_pll_ctl0[5-0] plld 0 pll multiplier 128 bootcfg_ddr3a_pll_ctl0[18-6] pllm 127 pll output divider 16 bootcfg_ddr3a_pll_ctl0[22-19] clkod 15 phy pll frequency select (in ddr3 initialization) n/a ddr_phy_pllcr[19-18] frqsel 0x3 phy pll charge pump proportional current control (in ddr3 initialization) n/a ddr_phy_pllcr[16-13] cppc 0xe configuration 4 reference clock input 26 mhz n/a n/a pll reference divider 1 bootcfg_ddr3a_pll_ctl0[5-0] plld 0 pll multiplier 123 bootcfg_ddr3a_pll_ctl0[18-6] pllm 122 pll output divider 16 bootcfg_ddr3a_pll_ctl0[22-19] clkod 15 phy pll frequency select (in ddr3 initialization) n/a ddr_phy_pllcr[19-18] frqsel 0x3 phy pll charge pump proportional current control (in ddr3 initialization) n/a ddr_phy_pllcr[16-13] cppc 0xe 5.10.3.5.2 dll characteristics table 5-20 summarizes the dll characteristics of recommended operating conditions. table 5-20. dll characteristics name description min typ max unit f input input clock frequency (emif_dll_fclk) tbd tbd tbd mhz t lock lock time tbd tbd tbd cycles t relock relock time (a change of the dll frequency implies that dll must relock) tbd tbd tbd cycles advance information
109 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.3.6 system clocks operating frequency ranges table 5-21 lists the operating frequency ranges for the system clocks of the device. table 5-21. system clocks operating frequency range system clocks (1) bypass min (mhz) bypass max (mhz) minimum operating frequency (mhz) main pll 19.2 26 400 arm pll 19.2 26 200 dss pll (2) 19.2 26 74.25 (3) nss/iep pll 19.2 26 600 uart pll 19.2 26 384 icss pll 19.2 26 200 ddr pll 19.2 26 151.5 (1) supported input reference clock frequencies to the pll are 19.2/24/25/26 mhz only. (2) interconnect clock on dss is cpu/4. this will range from 100 mhz to 250 mhz. (3) when main pll is configured to 400 mhz mode, dss can only support a max pixel clock of 74.25 mhz. for lower resolution displays the dss clock can be lower than 74.25 mhz. 5.10.3.7 device inputs and outputs module clocks frequencies table 5-22 lists the clock inputs to the device. table 5-22. clock inputs to the device clock i/o buffer type frequency (mhz) (2) duty cycle (%) jitter requirements min max min / max requirements (ps) type and condition (1) sysosc_in / sysosc_out internal hf oscillator 19.2 26 45/55 50 peak-to-peak, period ddr_clk_n / ddr_clk_p lvds 19.2 26 45/55 100 peak-to-peak, period cpts_rfclk_n / cpts_rfclk_p lvds 30.72 307.2 45/55 100 peak-to-peak, period sysclk_n / sysclk_p lvds 19.2 26 45/55 100 peak-to-peak, period audosc_in / audosc_out internal hf oscillator 11.2896 49.152 45/55 100 peak-to-peak, period pcie_clk_n pcie_clk_p serdes/cml 100 45/55 3.1 rms jitter from 1.5 - 200mhz (3) usb0_xo / usb1_xo usb_phy (4) 9.6 50 40/60 100 peak-to-peak, period tck lvcmos dc 35 40/60 n/a rmii_refclk lvcmos 50 50 40/60 50 peak-to-peak, period rgmii_rxc lvcmos 2.5 125 40/60 100 peak-to-peak, period rgmii_txc lvcmos 2.5 125 40/60 100 peak-to-peak, period mcaspx_ahclkr mcaspx_ahclkx lvcmos n/a 50 (5) 40/60 100 peak-to-peak, period mcaspx_aclkr mcaspx_aclkx lvcmos n/a 50 (5) 40/60 100 peak-to-peak, period mcaspx_afsr mcaspx_afsx lvcmos 0.008 0.192 configurable n/a mcbsp_clkr mcbsp_clkx lvcmos n/a 50 (6) 40/60 100 peak-to-peak, period advance information
110 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-22. clock inputs to the device (continued) clock i/o buffer type frequency (mhz) (2) duty cycle (%) jitter requirements min max min / max requirements (ps) type and condition (1) mlb_clk (3-pin mode) lvcmos 11.2896 49.152 40/60 100 peak-to-peak, period mlb_clk_n mlb_clk_p (6-pin mode) lvcmos 11.2896 98.308 40/60 100 peak-to-peak, period spix_clk lvcmos n/a 50 40/60 100 peak-to-peak, period qspi_rclk lvcmos n/a 96 40/60 100 peak-to-peak, period i2cx_scl lvcmos n/a 0.4 40/60 n/a (1) over 12 khz to 20 mhz bandwidth unless otherwise noted. (2) frequency tolerance: +/- 100ppm, except for rmii_refclk frequency tolerance is +/- 50ppm and usb_clk. usb_clk frequency tolerance is +/- 400ppm. (3) after applying a filter function. see section peripheral component interconnect express subsystem (pcie ss) in chapter peripherals of the device trm for full refclk requirements. (4) jitter requirement for direct pin connection to the usb oscillator pads. (5) the minimum pulse width for mcasp clocks is (period/2 - [2.5ns]). (6) the minimum pulse width for mcbsp clocks is (period/2 - [2.5ns]). table 5-23 lists the clock outputs from the device. table 5-23. clock outputs from the device clock i/o buffer type frequency (mhz) (2) duty cycle (%) jitter requirements min max min / max requirements (ps) type and condition (1) ddr3_clkout_nx / ddr3_clkout_px hstl 151.5 533 45/55 100 peak-to-peak, period sysclkout (3) lvcmos 166.67 40/60 100 peak-to-peak, period rgmii_txc lvcmos 2.5 125 40/60 100 peak-to-peak, period mdio_clk lvcmos 2.5 40/60 100 peak-to-peak, period mcaspx_ahclkr mcaspx_ahclkx lvcmos 50 40/60 100 peak-to-peak, period mcaspx_aclkr mcaspx_aclkx lvcmos 50 40/60 100 peak-to-peak, period mcaspx_afsr mcaspx_afsx lvcmos 0.008 0.192 configurable n/a mcbsp_clkr mcbsp_clkx lvcmos 50 40/60 100 peak-to-peak, period mmc0_clk mmc1_clk lvcmos 96 40/60 100 peak-to-peak, period spix_clk lvcmos 50 40/60 100 peak-to-peak, period qspi_clk lvcmos 96 40/60 100 peak-to-peak, period i2cx_scl lvcmos 0.4 40/60 n/a gpmc_clk lvcmos 100 40/60 n/a dss_pclk lvcmos n/a 150 40/60 n/a advance information
111 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-23. clock outputs from the device (continued) clock i/o buffer type frequency (mhz) (2) duty cycle (%) jitter requirements min max min / max requirements (ps) type and condition (1) clkout lvcmos 25 50 40/60 (1) over 12 khz to 20 mhz bandwidth unless otherwise noted. (2) frequency tolerance: +/- 100ppm. (3) sysclkout cannot be used as a clock source for external devices on the board. this is for test and debug purpose only. 5.10.3.8 recommended clock and control signal transition behavior all clocks and strobe signals must transition between v ih and v il (or between v il and v ih ) in a monotonic manner. monotonic transitions are more easily ensured with faster switching signals. slower input transitions are more susceptible to glitches due to noise, and special care must be taken for slow input clocks. 5.10.3.9 interface clock specifications 5.10.3.9.1 interface clock terminology the interface clock is used at the system level to sequence the data and to control transfers accordingly with the interface protocol. 5.10.3.9.2 interface clock frequency the two interface clock characteristics are: ? the maximum clock frequency ? the maximum operating frequency the interface clock frequency documented here is the maximum clock frequency, which corresponds to the maximum frequency programmable on this output clock. this frequency defines the maximum limit supported by the device ic and does not take into account any system consideration (pcb, peripherals). the system designer must take into account these system considerations and the device ic timing characteristics to properly define the maximum operating frequency that corresponds to the maximum frequency supported to transfer the data on this interface. advance information
112 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4 peripherals 5.10.4.1 dcan for more details about features and additional description information on the device controller area network interface, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-24 , table 5-25 , and figure 5-19 present timing requirements and switching characteristics for dcanx interface. table 5-24. timing requirements for dcanx receive no. min max unit f baud(baud) maximum programmable baud rate 1 mbps can1 t w(rx) pulse duration, receive data bit h - 2 (1) h + 2 (1) ns (1) h = period of baud rate, 1/programmed baud rate. table 5-25. switching characteristics over recommended operating conditions for dcanx transmit no. parameter min max unit f baud(baud) maximum programmable baud rate 1 mbps can2 t w(tx) pulse duration, transmit data bit h - 2 (1) h + 2 (1) ns (1) h = period of baud rate, 1/programmed baud rate. figure 5-19. dcanx timings for more information, see section dual controller area network (dcan) interface in chapter peripherals of the device trm. 5.10.4.2 dss for more details about features and additional description information on the device display subsystem ? video output ports, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-26 and figure 5-20 assume testing over the recommended operating conditions and electrical characteristic conditions. table 5-26. dpi video output switching characteristics no. parameter min max unit d1 t c(clk) cycle time, output pixel clock dss_pclk 6.67 ns d2 t w(clkl) pulse duration, output pixel clock dss_pclk low p (1) 0.45 ns d3 t w(clkh) pulse duration, output pixel clock dss_pclk high p (1) 0.45 ns d4 t t(clk) transition time, output pixel clock dss_pclk (10%-90%) tbd tbd ns d5 t d(clk-ctlv) delay time, output pixel clock dss_pclk transition to output data dss_data[23:0] valid -1.39 1.15 ns d6 t d(clk-dv) delay time, output pixel clock dss_pclk transition to output control signals dss_vsync, dss_hsync, dss_de, and dss_fid valid -1.39 1.15 ns can1 dcanx_rx can2 dcanx_tx advance information
113 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) p = output dss_pclk period in ns. (1) the configuration of assertion of the data can be programmed on the falling or rising edge of the pixel clock. (2) the polarity and the pulse width of dss_hsync and dss_vsync are programmable, refer to section display subsystem (dss) in chapter peripherals of the device trm. (3) the dss_pclk frequency can be configured, refer to section display subsystem in chapter peripherals of the device trm. figure 5-20. dpi video output (1) (2) (3) 5.10.4.3 ddr emif for more details about features and additional description information on the device ddr3l memory interface, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . the device has a dedicated interface to ddr3l sdram. it supports jedec jesd79-3f and jesd79-3-1 standards compliant ddr3l sdram devices with the following features: ? 16-bit or 32-bit data path to external sdram memory ? memory device capacity: up to 4 gb address space available over one chip select 5.10.4.4 emac for more details about features and additional description information on the device gigabit ethernet mac, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . 5.10.4.4.1 emac mdio interface timings table 5-27 , table 5-28 , and figure 5-21 present timing requirements for mdio. dss_pclk dss_vsync dss_hsync dss_data[23:0] dss_de dss_fid data_1 data_2 data_n odd even d1 d2 d3 d6 d6 d5 d6 d6 swps049-018 d4 dss_pclk falling-edge clock reference rising-edge clock reference advance information
114 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-27. timing requirements for mdio input no. parameter min max unit mdio1 t su(mdio_mdc) setup time, mdio_data valid before mdio_clk high 90 ns mdio2 t h(mdio_mdc) hold time, mdio_data valid after mdio_clk high 0 ns table 5-28. switching characteristics over recommended operating conditions for mdio output no. parameter min max unit mdio3 t c(mdc) cycle time, mdio_clk 400 ns mdio4 t w(mdch) pulse duration, mdio_clk high 160 ns mdio5 t w(mdcl) pulse duration, mdio_clk low 160 ns mdio6 t t(mdc) transition time, mdio_clk 5 ns mdio7 t d(mdc_mdio) delay time, mdio_clk high to mdio_data valid 10 390 ns figure 5-21. emac mdio diagrams receive and transmit 5.10.4.4.2 emac mii timings table 5-29 and figure 5-22 present timing requirements for mii in receive operation. table 5-29. timing requirements for mii_rxclk ? mii operation no. parameter speed min max unit mii1 t c(rxclk) cycle time, mii_rxclk 10 mbps 399.96 400.04 ns 100 mbps 39.996 40.004 ns mii2 t w(rxclkh) pulse duration, mii_rxclk high 10 mbps 140 260 ns 100 mbps 14 26 ns mii3 t w(rxclkl) pulse duration, mii_rxclk low 10 mbps 140 260 ns 100 mbps 14 26 ns mii4 t t(rxclk) transition time, mii_rxclk 10 mbps 5 ns 100 mbps 5 ns mdio3 mdio1 mdio2 mdio7 mdio4 mdio5 mdio6 mdio6 mdio_clk mdio_data (input) mdio_data (output) emac_mdio_01 advance information
115 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-22. clock timing (emac receive) ? mii operation table 5-30 and figure 5-23 present timing requirements for mii in transmit operation. table 5-30. timing requirements for mii_txclk ? mii operation no. parameter speed min max unit mii1 t c(txclk) cycle time, mii_txclk 10 mbps 399.96 400.04 ns 100 mbps 39.996 40.004 ns mii2 t w(txclkh) pulse duration, mii_txclk high 10 mbps 140 260 ns 100 mbps 14 26 ns mii3 t w(txclkl) pulse duration, mii_txclk low 10 mbps 140 260 ns 100 mbps 14 26 ns mii4 t t(txclk) transition time, mii_txclk 10 mbps 5 ns 100 mbps 5 ns figure 5-23. clock timing (emac transmit) ? mii operation table 5-31 and figure 5-24 present timing requirements for emac mii receive 10 mbps and 100 mbps. table 5-31. timing requirements for emac mii receive 10 mbps and 100 mbps no. parameter min max unit mii5 t su(rxd-rxclk) setup time, receive selected signals valid before mii_rxclk 8 ns t su(rxdv-rxclk) t su(rxer-rxclk) mii6 t h(rxclk-rxd) hold time, receive selected signals valid after mii_rxclk 8 ns t h(rxclk-rxdv) t h(rxclk-rxer) mii_txclk mii2 mii3 mii1 mii4 mii4 emac_mii_02 advance information mii_rxclk mii2 mii3 mii1 mii4 mii4 emac_mii_01
116 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-24. emac receive interface timing mii operation table 5-32 and figure 5-25 present timing requirements for emac mii transmit 10 mbps and 100 mbps. table 5-32. switching characteristics over recommended operating conditions for emac mii transmit 10 mbps and 100 mbps no. parameter speed min max unit mii7 t d(txclk-txd) delay time, mii_txclk to transmit selected signals valid 10 mbps 5 25 ns t d(txclk-txen) 100 mbps 5 25 ns figure 5-25. emac transmit interface timing mii operation 5.10.4.4.3 emac rmii timings table 5-33 , table 5-34 , and figure 5-26 present timing requirements for emac rmii receive. table 5-33. timing requirements for emac rmii_refclk ? rmii operation no. parameter min max unit rmii1 t c(refclk) cycle time, rmii_refclk 19.999 20.001 ns rmii2 t w(refclkh) pulse duration, rmii_refclk high 7 13 ns rmii3 t w(refclkl) pulse duration, rmii_refclk low 7 13 ns rmii4 t t(refclk) transition time, rmii_refclk 5 ns table 5-34. timing requirements for emac rmii receive no. parameter min max unit rmii5 t su(rxd-refclk) setup time, receive selected signals valid before rmii_refclk 4 ns t su(crs_dv-refclk) t su(rxer-refclk) rmii6 t h(refclk-rxd) hold time, receive selected signals valid after rmii_refclk 2 ns t h(refclk-crs_dv) t h(refclk-rxer) mii7 mii_txclk (input) mii_txd3 mii_txen ? , (outputs) mii_txd0 emac_mii_04 mii_rxclk (input) mii5 mii6 mii_rxd3 mii_rxdv mii_rxer ? , (inputs) mii_rxd0, emac_mii_03 advance information
117 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-26. emac receive interface timing rmii operation table 5-35 , table 5-35 , and figure 5-27 present switching characteristics for emac rmii transmit 10 mbps and 100 mbps. table 5-35. switching characteristics over recommended operating conditions for emac rmii_refclk ? rmii operation no. parameter min max unit rmii7 t c(refclk) cycle time, rmii_refclk 19.999 20.001 ns rmii8 t w(refclkh) pulse duration, rmii_refclk high 7 13 ns rmii9 t w(refclkl) pulse duration, rmii_refclk low 7 13 ns rmii10 t t(refclk) transition time, rmii_refclk 5 ns table 5-36. switching characteristics over recommended operating conditions for emac rmii transmit 10 mbps and 100 mbps no. parameter min max unit rmii11 t d(refclk-txd) delay time, rmii_refclk high to selected transmit signals valid 2 13 ns t d(refclk-txen) rmii12 t r(txd) rise time, txd outputs 1 5 ns t r(txen) rise time, txen output rmii13 t f(txd) fall time, txd outputs 1 5 ns t f(txen) fall time, txen output figure 5-27. emac transmit interface timing rmii operation 5.10.4.4.4 emac rgmii timings table 5-37 , table 5-38 , and figure 5-28 present timing requirements for receive rgmii operation. advance information rmii5 rmii6 rmii_rxd1? rmii_rxer rmii_rxd0, rmii_crs_dv, (inputs) emac_rmii_01 rmii1 rmii3 rmii2 rmii4 rmii4 rmii_refclk rmii_txd1?rmii_txd0, rmii_txen (outputs) emac_rmii_02 rmii11 rmii13 rmii12 rmii_refclk rmii10 rmii10 rmii8 rmii9 rmii7
118 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-37. timing requirements for rgmii_rxc ? rgmii operation no. parameter speed min max unit rgmii1 t c(rxc) cycle time, rgmii_rxc 10 mbps 360 440 ns 100 mbps 36 44 ns 1000 mbps 7.2 8.8 ns rgmii2 t w(rxch) pulse duration, rgmii_rxc high 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns rgmii3 t w(rxcl) pulse duration, rgmii_rxc low 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns rgmii4 t t(rxc) transition time, rgmii_rxc 10 mbps 0.75 ns 100 mbps 0.75 ns 1000 mbps 0.75 ns table 5-38. timing requirements for emac rgmii input receive for 10 mbps, 100 mbps, and 1000 mbps no. parameter min max unit rgmii5 t su(rxd-rxc) setup time, receive selected signals valid before rgmii_rxc high and low 1 ns rgmii6 t h(rxc-rxd) hold time, receive selected signals valid after rgmii_rxc high and low 1 ns a. rgmii_rxc must be externally delayed relative to the data and control pins. b. data and control information is received using both edges of the clocks. rgmii_rxd[3:0] carries data bits 3-0 on the rising edge of rgmii_rxc and data bits 7-4 on the falling edge of rgmii_rxc. similarly, rgmii_rxctl carries rxdv on rising edge of rgmii_rxc and rxerr on falling edge of rgmii_rxc. figure 5-28. emac receive interface timing, rgmii operation table 5-39 , table 5-40 , and figure 5-30 present switching characteristics for transmit - rgmii for 10 mbps, 100 mbps, and 1000 mbps. table 5-39. switching characteristics over recommended operating conditions for transmit - rgmii operation for 10 mbps, 100 mbps, and 1000 mbps no. parameter speed min max unit rgmii1 t c(txc) cycle time, rgmii_txc 10 mbps 360 440 ns 100 mbps 36 44 ns 1000 mbps 7.2 8.8 ns rgmii_rxd[3:0] (b) rgmii_rxctl (b) rgmii_rxc (a) rgmii5 rxerr rxdv 1st half-byte 2nd half-byte rgrxd[7:4] rgrxd[3:0] rgmii2 rmgii3 rgmii1 rgmii4 rgmii4 rgmii6 emac_rgmii_01 advance information
119 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-39. switching characteristics over recommended operating conditions for transmit - rgmii operation for 10 mbps, 100 mbps, and 1000 mbps (continued) no. parameter speed min max unit rgmii2 t w(txch) pulse duration, rgmii_txc high 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns rgmii3 t w(txcl) pulse duration, rgmii_txc low 10 mbps 160 240 ns 100 mbps 16 24 ns 1000 mbps 3.6 4.4 ns rgmii4 t t(txc) transition time, rgmii_txc 10 mbps 0.75 ns 100 mbps 0.75 ns 1000 mbps 0.75 ns figure 5-29. rgmii_tcx timing - rgmii mode table 5-40. switching characteristics over recommended operating conditions for emac rgmii transmit - rgmii_txd[3:0], and rgmii_txctl - rgmii mode (1) no. parameter min max unit rgmii5 t d(txd-txc) delay time, txd to txc -0.35 0.65 ns rgmii6 t d(txctl-txc) delay time, txctl to txc -0.35 0.65 ns rgmii7 t t(txd) transition time, txd 0.75 ns rgmii8 t t(txctl) transition time, txctl 0.75 ns (1) pcb traces for rgmii_txd[3:0] and rgmii_txctl should insert an additional 150ps of delay relative to the pcb trace delay of rgmii_txc. this provides the expected output timing as defined by the rgmii specification for a transmitter not operating in rgmii-id timing mode. timing analysis should be performed on this interface using actual timing requirements/characteristics of the attached rgmii phy. in some cases, additional pcb delays may be required to provide proper timing margins. a. rgmii_txc must be externally delayed relative to the data and control pins. b. data and control information is received using both edges of the clocks. rgmii_txd[3:0] carries data bits 3-0 on the rising edge of rgmii_txc and data bits 7-4 on the falling edge of rgmii_txc. similarly, rgmii_txctl carries txdv on rising edge of rgmii_txc and rtxerr on falling edge of rgmii_txc. figure 5-30. emac transmit interface timing rgmii mode table 5-41. switching characteristics over recommended operating conditions for emac rgmii transmit - rgmii_txd[3:0], and rgmii_txctl - rgmii id mode (1) no. parameter min max unit rgmii5 t d(txd-txc) delay time, txd to txc (0.25 t c(txc) ) - 0.24 (0.25 t c(txc) ) + 0.60 ns rgmii6 t d(txctl-txc) delay time, txctl to txc (0.25 t c(txc) ) - 0.24 (0.25 t c(txc) ) + 0.60 ns rgmii_txc rgmii4 rgmii4 rgmii2 rgmii3 rgmii1 rgmii_txc (a) rgmii_txd[3:0] (b) rgmii_txctl (b) 1st half-byte txerr txen 2nd half-byte rgmii7 rgmii8 rgmii5 rgmii6 rgmii5 rgmii6 advance information
120 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-41. switching characteristics over recommended operating conditions for emac rgmii transmit - rgmii_txd[3:0], and rgmii_txctl - rgmii id mode (1) (continued) no. parameter min max unit rgmii7 t t(txd) transition time, txd 0.75 rgmii8 t t(txctl) transition time, txctl 0.75 (1) pcb traces for rgmii_txd[3:0] and rgmii_txctl should insert an additional 150ps of delay relative to the pcb trace delay of rgmii_txc. this provides the expected output timing as defined by the rgmii specification for a transmitter operating in rgmii-id timing mode. timing analysis should be performed on this interface using actual timing requirements/characteristics of the attached rgmii phy. in some cases, additional pcb delays may be required to provide proper timing margins. a. rgmii_txc must be externally delayed relative to the data and control pins. b. data and control information is received using both edges of the clocks. rgmii_txd[3:0] carries data bits 3-0 on the rising edge of rgmii_txc and data bits 7-4 on the falling edge of rgmii_txc. similarly, rgmii_txctl carries txdv on rising edge of rgmii_txc and rtxerr on falling edge of rgmii_txc. figure 5-31. emac transmit interface timing - rgmii id mode for more information, see section networking subsystem (nss) in chapter peripherals of the device trm. 5.10.4.5 gpmc for more details about features and additional description information on the device general-purpose memory controller, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . 5.10.4.5.1 gpmc and nor flash ? synchronous mode table 5-43 and table 5-44 assume testing over the recommended operating conditions and electrical characteristic conditions shown in table 5-42 (see figure 5-32 through figure 5-36 ). table 5-42. gpmc and nor flash timing conditions ? synchronous mode parameter min typ max unit input conditions t r input signal rise time 0.9 3.1 (1) ns t f input signal fall time 0.9 3.1 (1) ns output condition c load output load capacitance 5 20 pf (1) max t r & t f = 25% of clock period when gpmc_clk = 79.78mhz. table 5-43. gpmc and nor flash timing requirements ? synchronous mode no. min max unit f12 t su(dv-clkh) setup time, input data gpmc_ad[15:0] valid before output clock gpmc_clk high 3.5 ns f13 t h(clkh-dv) hold time, input data gpmc_ad[15:0] valid after output clock gpmc_clk high 2.5 ns f21 t su(waitv-clkh) setup time, input wait gpmc_wait[x] (1) valid before output clock gpmc_clk high 3.5 ns rgmii_txc (a) rgmii_txd[3:0] (b) rgmii_txctl (b) 1st half-byte txerr txen 2nd half-byte rgmii5 rgmii6 rgmii5 rgmii6 rgmii7 rgmii8 advance information
121 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-43. gpmc and nor flash timing requirements ? synchronous mode (continued) no. min max unit f22 t h(clkh-waitv) hold time, input wait gpmc_wait[x] (1) valid after output clock gpmc_clk high 2.5 ns (1) in gpmc_wait[x], x is equal to 0 or 1. table 5-44. gpmc and nor flash switching characteristics ? synchronous mode (2) no. parameter min max unit f0 1 / t c(clk) frequency (18) , output clock gpmc_clk 100 mhz f1 t w(clkh) typical pulse duration, output clock gpmc_clk high 0.5p (15) 0.5p (15) ns f1 t w(clkl) typical pulse duration, output clock gpmc_clk low 0.5p (15) 0.5p (15) ns t dc(clk) duty cycle error, output clock gpmc_clk -500 500 ps t j(clk) jitter standard deviation (19) , output clock gpmc_clk 33.33 ps t r(clk) rise time, output clock gpmc_clk 2 ns t f(clk) fall time, output clock gpmc_clk 2 ns t r(do) rise time, output data gpmc_ad[15:0] 2 ns t f(do) fall time, output data gpmc_ad[15:0] 2 ns f2 t d(clkh-csnv) delay time, output clock gpmc_clk rising edge to output chip select gpmc_csn[x] (14) transition f (6) - 2.2 f (6) + 4.5 ns f3 t d(clkh-csniv) delay time, output clock gpmc_clk rising edge to output chip select gpmc_csn[x] (14) invalid e (5) - 2.2 e (5) + 4.5 ns f4 t d(av-clk) delay time, output address gpmc_a[27:1] valid to output clock gpmc_clk first edge b (2) - 4.5 b (2) + 3.1 ns f5 t d(clkh-aiv) delay time, output clock gpmc_clk rising edge to output address gpmc_a[27:1] invalid -2.3 4.5 ns f6 t d(be[x]nv-clk) delay time, output lower byte enable and command latch enable gpmc_be0n_cle, output upper byte enable gpmc_be1n valid to output clock gpmc_clk first edge b (2) - 1.9 b (2) + 2.3 ns f7 t d(clkh-be[x]niv) delay time, output clock gpmc_clk rising edge to output lower byte enable and command latch enable gpmc_be0n_cle, output upper byte enable gpmc_be1n invalid (11) d (4) - 2.3 d (4) + 1.9 ns f7 t d(clkl-be[x]niv) delay time, gpmc_clk falling edge to gpmc_be0n_cle, gpmc_be1n invalid (12) d (4) - 2.3 d (4) + 1.9 ns f7 t d(clkl-be[x]niv) delay time, gpmc_clk falling edge to gpmc_be0n_cle, gpmc_be1n invalid (13) d (4) - 2.3 d (4) + 1.9 ns f8 t d(clkh-advn) delay time, output clock gpmc_clk rising edge to output address valid and address latch enable gpmc_advn_ale transition g (7) - 2.3 g (7) + 4.5 ns f9 t d(clkh-advniv) delay time, output clock gpmc_clk rising edge to output address valid and address latch enable gpmc_advn_ale invalid d (4) - 2.3 d (4) + 4.5 ns f10 t d(clkh-oen) delay time, output clock gpmc_clk rising edge to output enable gpmc_oen_ren transition h (8) - 2.3 h (8) + 3.5 ns f11 t d(clkh-oeniv) delay time, output clock gpmc_clk rising edge to output enable gpmc_oen_ren invalid h (8) - 2.3 h (8) + 3.5 ns f14 t d(clkh-wen) delay time, output clock gpmc_clk rising edge to output write enable gpmc_wen transition i (9) - 2.3 i (9) + 4.5 ns f15 t d(clkh-do) delay time, output clock gpmc_clk rising edge to output data gpmc_ad[15:0] transition (11) j (10) - 2.3 j (10) + 2.7 ns f15 t d(clkl-do) delay time, gpmc_clk falling edge to gpmc_ad[15:0] data bus transition (12) j (10) - 2.3 j (10) + 2.7 ns f15 t d(clkl-do) delay time, gpmc_clk falling edge to gpmc_ad[15:0] data bus transition (13) j (10) - 2.3 j (10) + 2.7 ns f17 t d(clkh-be[x]n) delay time, output clock gpmc_clk rising edge to output lower byte enable and command latch enable gpmc_be0n_cle transition (11) j (10) - 2.3 j (10) + 1.9 ns advance information
122 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-44. gpmc and nor flash switching characteristics ? synchronous mode (2) (continued) no. parameter min max unit f17 t d(clkl-be[x]n) delay time, gpmc_clk falling edge to gpmc_be0n_cle, gpmc_be1n transition (12) j (10) - 2.3 j (10) + 1.9 ns f17 t d(clkl-be[x]n) delay time, gpmc_clk falling edge to gpmc_be0n_cle, gpmc_be1n transition (13) j (10) - 2.3 j (10) + 1.9 ns f18 t w(csnv) pulse duration, output chip select gpmc_csn[x] (14) low read a (1) ns write a (1) ns f19 t w(be[x]nv) pulse duration, output lower byte enable and command latch enable gpmc_be0n_cle, output upper byte enable gpmc_be1n low read c (3) ns write c (3) ns f20 t w(advnv) pulse duration, output address valid and address latch enable gpmc_advn_ale low read k (16) ns write k (16) ns (1) for single read: a = (csrdofftime - csontime) (timeparagranularity + 1) gpmc_fclk (17) for burst read: a = (csrdofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst write: a = (cswrofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (17) with n being the page burst access number. (2) b = clkactivationtime gpmc_fclk (17) (3) for single read: c = rdcycletime (timeparagranularity + 1) gpmc_fclk (17) for burst read: c = (rdcycletime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst write: c = (wrcycletime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (17) with n being the page burst access number. (4) for single read: d = (rdcycletime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst read: d = (rdcycletime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst write: d = (wrcycletime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) (5) for single read: e = (csrdofftime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst read: e = (csrdofftime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) for burst write: e = (cswrofftime - accesstime) (timeparagranularity + 1) gpmc_fclk (17) (6) for csn falling edge (cs activated): ? case gpmcfclkdivider = 0: ? f = 0.5 csextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? f = 0.5 csextradelay gpmc_fclk (17) if (clkactivationtime and csontime are odd) or (clkactivationtime and csontime are even) ? f = (1 + 0.5 csextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? f = 0.5 csextradelay gpmc_fclk (17) if ((csontime - clkactivationtime) is a multiple of 3) ? f = (1 + 0.5 csextradelay) gpmc_fclk (17) if ((csontime - clkactivationtime - 1) is a multiple of 3) ? f = (2 + 0.5 csextradelay) gpmc_fclk (17) if ((csontime - clkactivationtime - 2) is a multiple of 3) (7) for adv falling edge (adv activated): ? case gpmcfclkdivider = 0: ? g = 0.5 advextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? g = 0.5 advextradelay gpmc_fclk (17) if (clkactivationtime and advontime are odd) or (clkactivationtime and advontime are even) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? g = 0.5 advextradelay gpmc_fclk (17) if ((advontime - clkactivationtime) is a multiple of 3) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) if ((advontime - clkactivationtime - 1) is a multiple of 3) ? g = (2 + 0.5 advextradelay) gpmc_fclk (17) if ((advontime - clkactivationtime - 2) is a multiple of 3) for adv rising edge (adv deactivated) in reading mode: ? case gpmcfclkdivider = 0: ? g = 0.5 advextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? g = 0.5 advextradelay gpmc_fclk (17) if (clkactivationtime and advrdofftime are odd) or (clkactivationtime and advrdofftime are even) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? g = 0.5 advextradelay gpmc_fclk (17) if ((advrdofftime - clkactivationtime) is a multiple of 3) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) if ((advrdofftime - clkactivationtime - 1) is a multiple of 3) ? g = (2 + 0.5 advextradelay) gpmc_fclk (17) if ((advrdofftime - clkactivationtime - 2) is a multiple of 3) for adv rising edge (adv deactivated) in writing mode: ? case gpmcfclkdivider = 0: advance information
123 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated ? g = 0.5 advextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? g = 0.5 advextradelay gpmc_fclk (17) if (clkactivationtime and advwrofftime are odd) or (clkactivationtime and advwrofftime are even) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? g = 0.5 advextradelay gpmc_fclk (17) if ((advwrofftime - clkactivationtime) is a multiple of 3) ? g = (1 + 0.5 advextradelay) gpmc_fclk (17) if ((advwrofftime - clkactivationtime - 1) is a multiple of 3) ? g = (2 + 0.5 advextradelay) gpmc_fclk (17) if ((advwrofftime - clkactivationtime - 2) is a multiple of 3) (8) for oe falling edge (oe activated) and io dir rising edge (data bus input direction): ? case gpmcfclkdivider = 0: ? h = 0.5 oeextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? h = 0.5 oeextradelay gpmc_fclk (17) if (clkactivationtime and oeontime are odd) or (clkactivationtime and oeontime are even) ? h = (1 + 0.5 oeextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? h = 0.5 oeextradelay gpmc_fclk (17) if ((oeontime - clkactivationtime) is a multiple of 3) ? h = (1 + 0.5 oeextradelay) gpmc_fclk (17) if ((oeontime - clkactivationtime - 1) is a multiple of 3) ? h = (2 + 0.5 oeextradelay) gpmc_fclk (17) if ((oeontime - clkactivationtime - 2) is a multiple of 3) for oe rising edge (oe deactivated): ? case gpmcfclkdivider = 0: ? h = 0.5 oeextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? h = 0.5 oeextradelay gpmc_fclk (17) if (clkactivationtime and oeofftime are odd) or (clkactivationtime and oeofftime are even) ? h = (1 + 0.5 oeextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? h = 0.5 oeextradelay gpmc_fclk (17) if ((oeofftime - clkactivationtime) is a multiple of 3) ? h = (1 + 0.5 oeextradelay) gpmc_fclk (17) if ((oeofftime - clkactivationtime - 1) is a multiple of 3) ? h = (2 + 0.5 oeextradelay) gpmc_fclk (17) if ((oeofftime - clkactivationtime - 2) is a multiple of 3) (9) for we falling edge (we activated): ? case gpmcfclkdivider = 0: ? i = 0.5 weextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? i = 0.5 weextradelay gpmc_fclk (17) if (clkactivationtime and weontime are odd) or (clkactivationtime and weontime are even) ? i = (1 + 0.5 weextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? i = 0.5 weextradelay gpmc_fclk (17) if ((weontime - clkactivationtime) is a multiple of 3) ? i = (1 + 0.5 weextradelay) gpmc_fclk (17) if ((weontime - clkactivationtime - 1) is a multiple of 3) ? i = (2 + 0.5 weextradelay) gpmc_fclk (17) if ((weontime - clkactivationtime - 2) is a multiple of 3) for we rising edge (we deactivated): ? case gpmcfclkdivider = 0: ? i = 0.5 weextradelay gpmc_fclk (17) ? case gpmcfclkdivider = 1: ? i = 0.5 weextradelay gpmc_fclk (17) if (clkactivationtime and weofftime are odd) or (clkactivationtime and weofftime are even) ? i = (1 + 0.5 weextradelay) gpmc_fclk (17) otherwise ? case gpmcfclkdivider = 2: ? i = 0.5 weextradelay gpmc_fclk (17) if ((weofftime - clkactivationtime) is a multiple of 3) ? i = (1 + 0.5 weextradelay) gpmc_fclk (17) if ((weofftime - clkactivationtime - 1) is a multiple of 3) ? i = (2 + 0.5 weextradelay) gpmc_fclk (17) if ((weofftime - clkactivationtime - 2) is a multiple of 3) (10) j = gpmc_fclk (17) (11) first transfer only for clk div 1 mode. (12) half cycle; for all data after initial transfer for clk div 1 mode. (13) half cycle of gpmc_clk_out; for all data for modes other than clk div 1 mode. gpmc_clk_out divide down from gpmc_fclk. (14) in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. (15) p = gpmc_clk period in ns (16) for read: k = (advrdofftime - advontime) (timeparagranularity + 1) gpmc_fclk (17) for write: k = (advwrofftime - advontime) (timeparagranularity + 1) gpmc_fclk (17) (17) gpmc_fclk is general-purpose memory controller internal functional clock period in ns. (18) related to the gpmc_clk output clock maximum and minimum frequencies programmable in the gpmc module by setting the gpmc_config1_csx configuration register bit field gpmcfclkdivider. (19) the jitter probability density can be approximated by a gaussian function. advance information
124 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. b. in gpmc_wait[x], x is equal to 0 or 1. figure 5-32. gpmc and nor flash ? synchronous single read ? (gpmcfclkdivider = 0) gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_oen_ren gpmc_ad[15:0] gpmc_wait[x] valid address d 0 f0 f12 f13 f4 f6 f2 f8 f3 f7 f9 f11 f1 f1 f8 f19 f18 f20 f10 f6 f19 advance information
125 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. b. in gpmc_wait[x], x is equal to 0 or 1. figure 5-33. gpmc and nor flash ? synchronous burst read ? 4x16-bit (gpmcfclkdivider = 0) a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. b. in gpmc_wait[x], x is equal to 0 or 1. figure 5-34. gpmc and nor flash ? synchronous burst write ? (gpmcfclkdivider > 0) gpmc_clk gpmc_csn[x] gpmca[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_oen_ren gpmc_ad[15:0] gpmc_wait[x] valid address d 0 d 1 d 2 f0 f12 f13 f13 f12 f4 f1 f1 f2 f6 f3 f7 f8 f8 f9 f10 f11 f21 f22 f6 f7 d 3 advance information gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_wen gpmc_ad[15:0] gpmc_wait[x] d 0 d 1 d 2 d 3 f4 f15 f15 f15 f1 f1 f2 f6 f8 f8 f0 f14 f14 f3 f17 f17 f17 f9 f6 f17 f17 f17 valid address
126 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. b. in gpmc_wait[x], x is equal to 0 or 1. figure 5-35. gpmc and multiplexed nor flash ? synchronous burst read gpmc_clk gpmc_csn[x] gmpc_be0n_cle gpmc_be1n gpmc_a[27:17] gpmc_ad[15:0] gpmc_advn_ale gpmc_oen_ren gpmc_wait[x] valid valid address (msb) address (lsb) d0 d1 d2 d3 f4 f6 f4 f2 f8 f8 f10 f13 f12 f12 f11 f9 f7 f3 f0 f1 f1 f5 f6 f7 advance information
127 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. b. in gpmc_wait[x], x is equal to 0 or 1. figure 5-36. gpmc and multiplexed nor flash ? synchronous burst write advance information gpmc_clk gpmc_csn[x] gpmc_a[27:17] gpmc_be1n bpmc_be0n_cle gpmc_advn_ale gpmc_wen gpmc_wait[x] address (lsb) d 0 d 1 d 2 d 3 f4 f15 f15 f15 f1 f1 f2 f6 f8 f8 f0 f3 f17 f17 f17 f9 f6 f17 f17 f17 f18 f20 f14 f22 f21 address (msb) gpmc_ad[15:0] f14
128 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4.5.2 gpmc and nor flash ? asynchronous mode table 5-45 and table 5-46 assume testing over the recommended operating conditions and electrical characteristic conditions below (see figure 5-37 through figure 5-42 ). table 5-45. gpmc and nor flash internal timing parameters ? asynchronous mode (1) (2) no. min max unit fi1 delay time, output data gpmc_ad[15:0] generation from internal functional clock gpmc_fclk (3) 6.5 ns fi2 delay time, input data gpmc_ad[15:0] capture from internal functional clock gpmc_fclk (3) 4 ns fi3 delay time, output chip select gpmc_csn[x] generation from internal functional clock gpmc_fclk (3) 6.5 ns fi4 delay time, output address gpmc_a[27:1] generation from internal functional clock gpmc_fclk (3) 6.5 ns fi5 delay time, output address gpmc_a[27:1] valid from internal functional clock gpmc_fclk (3) 6.5 ns fi6 delay time, output lower-byte enable and command latch enable gpmc_be0n_cle, output upper-byte enable gpmc_be1n generation from internal functional clock gpmc_fclk (3) 6.5 ns fi7 delay time, output enable gpmc_oen_ren generation from internal functional clock gpmc_fclk (3) 6.5 ns fi8 delay time, output write enable gpmc_wen generation from internal functional clock gpmc_fclk (3) 6.5 ns fi9 skew, internal functional clock gpmc_fclk (3) 100 ps (1) the internal parameters table must be used to calculate data access time stored in the corresponding cs register bit field. (2) internal parameters are referred to the gpmc functional internal clock which is not provided externally. (3) gpmc_fclk is general-purpose memory controller internal functional clock. advance information
129 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-46. gpmc and nor flash timing requirements ? asynchronous mode no. min max unit fa5 (1) t acc(d) data access time h (5) ns fa20 (2) t acc1-pgmode(d) page mode successive data access time p (4) ns fa21 (3) t acc2-pgmode(d) page mode first data access time h (5) ns (1) the fa5 parameter illustrates the amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data is internally sampled by active functional clock edge. fa5 value must be stored inside the accesstime register bit field. (2) the fa20 parameter illustrates amount of time required to internally sample successive input page data. it is expressed in number of gpmc functional clock cycles. after each access to input page data, next input page data is internally sampled by active functional clock edge after fa20 functional clock cycles. the fa20 value must be stored in the pageburstaccesstime register bit field. (3) the fa21 parameter illustrates amount of time required to internally sample first input page data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa21 functional clock cycles, first input page data is internally sampled by active functional clock edge. fa21 value must be stored inside the accesstime register bit field. (4) p = pageburstaccesstime (timeparagranularity + 1) gpmc_fclk (6) (5) h = accesstime (timeparagranularity + 1) gpmc_fclk (6) (6) gpmc_fclk is general-purpose memory controller internal functional clock period in ns. table 5-47. gpmc and nor flash switching characteristics ? asynchronous mode no. parameter min max unit t r(d) rise time, output data gpmc_ad[15:0] 2 ns t f(d) fall time, output data gpmc_ad[15:0] 2 ns fa0 t w(be[x]nv) pulse duration, output lower-byte enable and command latch enable gpmc_be0n_cle, output upper-byte enable gpmc_be1n valid time read n (12) ns write n (12) fa1 t w(csnv) pulse duration, output chip select gpmc_csn[x] (13) low read a (1) ns write a (1) fa3 t d(csnv-advniv) delay time, output chip select gpmc_csn[x] (13) valid to output address valid and address latch enable gpmc_advn_ale invalid read b (2) - 0.2 b (2) + 2.0 ns write b (2) - 0.2 b (2) + 2.0 fa4 t d(csnv-oeniv) delay time, output chip select gpmc_csn[x] (13) valid to output enable gpmc_oen_ren invalid (single read) c (3) - 0.2 c (3) + 2.0 ns fa9 t d(av-csnv) delay time, output address gpmc_a[27:1] valid to output chip select gpmc_csn[x] (13) valid j (9) - 0.2 j (9) + 2.0 ns fa10 t d(be[x]nv-csnv) delay time, output lower-byte enable and command latch enable gpmc_be0n_cle, output upper-byte enable gpmc_be1n valid to output chip select gpmc_csn[x] (13) valid j (9) - 0.2 j (9) + 2.0 ns fa12 t d(csnv-advnv) delay time, output chip select gpmc_csn[x] (13) valid to output address valid and address latch enable gpmc_advn_ale valid k (10) - 0.2 k (10) + 2.0 ns fa13 t d(csnv-oenv) delay time, output chip select gpmc_csn[x] (13) valid to output enable gpmc_oen_ren valid l (11) - 0.2 l (11) + 2.0 ns fa16 t w(aiv) pulse durationm output address gpmc_a[26:1] invalid between 2 successive read and write accesses g (7) ns fa18 t d(csnv-oeniv) delay time, output chip select gpmc_csn[x] (13) valid to output enable gpmc_oen_ren invalid (burst read) i (8) - 0.2 i (8) + 2.0 ns fa20 t w(av) pulse duration, output address gpmc_a[27:1] valid - 2nd, 3rd, and 4th accesses d (4) ns fa25 t d(csnv-wenv) delay time, output chip select gpmc_csn[x] (13) valid to output write enable gpmc_wen valid e (5) - 0.2 e (5) + 2.0 ns fa27 t d(csnv-weniv) delay time, output chip select gpmc_csn[x] (13) valid to output write enable gpmc_wen invalid f (6) - 0.2 f (6) + 2.0 ns fa28 t d(wenv-dv) delay time, output write enable gpmc_wen valid to output data gpmc_ad[15:0] valid 2.8 ns advance information
130 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-47. gpmc and nor flash switching characteristics ? asynchronous mode (continued) no. parameter min max unit fa29 t d(dv-csnv) delay time, output data gpmc_ad[15:0] valid to output chip select gpmc_csn[x] (13) valid j (9) - 0.2 j (9) + 2.8 ns fa37 t d(oenv-aiv) delay time, output enable gpmc_oen_ren valid to output address gpmc_ad[15:0] phase end 2.8 ns (1) for single read: a = (csrdofftime - csontime) (timeparagranularity + 1) gpmc_fclk (14) for single write: a = (cswrofftime - csontime) (timeparagranularity + 1) gpmc_fclk (14) for burst read: a = (csrdofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (14) for burst write: a = (cswrofftime - csontime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (14) with n being the page burst access number (2) for reading: b = ((advrdofftime - csontime) (timeparagranularity + 1) + 0.5 (advextradelay - csextradelay)) gpmc_fclk (14) for writing: b = ((advwrofftime - csontime) (timeparagranularity + 1) + 0.5 (advextradelay - csextradelay)) gpmc_fclk (14) (3) c = ((oeofftime - csontime) (timeparagranularity + 1) + 0.5 (oeextradelay - csextradelay)) gpmc_fclk (14) (4) d = pageburstaccesstime (timeparagranularity + 1) gpmc_fclk (14) (5) e = ((weontime - csontime) (timeparagranularity + 1) + 0.5 (weextradelay - csextradelay)) gpmc_fclk (14) (6) f = ((weofftime - csontime) (timeparagranularity + 1) + 0.5 (weextradelay - csextradelay)) gpmc_fclk (14) (7) g = cycle2cycledelay gpmc_fclk (14) (8) i = ((oeofftime + (n - 1) pageburstaccesstime - csontime) (timeparagranularity + 1) + 0.5 (oeextradelay - csextradelay)) gpmc_fclk (14) (9) j = (csontime (timeparagranularity + 1) + 0.5 csextradelay) gpmc_fclk (14) (10) k = ((advontime - csontime) (timeparagranularity + 1) + 0.5 (advextradelay - csextradelay)) gpmc_fclk (14) (11) l = ((oeontime - csontime) (timeparagranularity + 1) + 0.5 (oeextradelay - csextradelay)) gpmc_fclk (14) (12) for single read: n = rdcycletime (timeparagranularity + 1) gpmc_fclk (14) for single write: n = wrcycletime (timeparagranularity + 1) gpmc_fclk (14) for burst read: n = (rdcycletime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (14) for burst write: n = (wrcycletime + (n - 1) pageburstaccesstime) (timeparagranularity + 1) gpmc_fclk (14) (13) in gpmc_csn[x], x is equal to 0, 1, 2 or 3. (14) gpmc_fclk is general-purpose memory controller internal functional clock period in ns. advance information
131 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. b. fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value must be stored inside accesstime register bits field. c. gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. figure 5-37. gpmc and nor flash ? asynchronous read ? single word advance information gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_oen_ren gpmc_ad[15:0] gpmc_wait[x] valid address valid valid data in 0 data in 0 fa0 fa9 fa10 fa3 fa1 fa4 fa12 fa13 fa0 fa10 fa5
132 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. b. fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value must be stored inside accesstime register bits field. c. gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. figure 5-38. gpmc and nor flash ? asynchronous read ? 32-bit gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_adcn_ale gpmc_oen_ren gpmc_ad[15:0] gpmc_wait[x] address 0 address 1 valid valid valid valid data upper fa9 fa10 fa3 fa9 fa3 fa13 fa13 fa1 fa1 fa4 fa4 fa12 fa12 fa10 fa0 fa0 fa16 fa0 fa0 fa10 fa10 fa5 fa5 advance information
133 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. b. fa21 parameter illustrates amount of time required to internally sample first input page data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa21 functional clock cycles, first input page data will be internally sampled by active functional clock edge. fa21 calculation must be stored inside accesstime register bits field. c. fa20 parameter illustrates amount of time required to internally sample successive input page data. it is expressed in number of gpmc functional clock cycles. after each access to input page data, next input page data will be internally sampled by active functional clock edge after fa20 functional clock cycles. fa20 is also the duration of address phases for successive input page data (excluding first input page data). fa20 value must be stored in pageburstaccesstime register bits field. d. gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. figure 5-39. gpmc and nor flash ? asynchronous read ? page mode 4x16-bit gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_oen_ren gpmc_ad[15:0] gpmc_wait[x] add0 add1 add2 add3 add4 d0 d1 d2 d3 d3 fa1 fa0 fa18 fa13 fa12 fa0 fa9 fa10 fa10 fa21 fa20 fa20 fa20 advance information
134 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. figure 5-40. gpmc and nor flash ? asynchronous write ? single word gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_a[10:1] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_wen gpmc_ad[15:0] gpmc_wait[x] valid address data out fa0 fa1 fa10 fa3 fa25 fa29 fa9 fa12 fa27 fa0 fa10 advance information
135 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. b. fa5 parameter illustrates amount of time required to internally sample input data. it is expressed in number of gpmc functional clock cycles. from start of read cycle and after fa5 functional clock cycles, input data will be internally sampled by active functional clock edge. fa5 value must be stored inside accesstime register bits field. c. gpmc_fclk is an internal clock (gpmc functional clock) not provided externally. figure 5-41. gpmc and multiplexed nor flash ? asynchronous read ? single word advance information gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_oen_ren gpmc_wait[x] address (msb) valid valid address (lsb) data in data in fa0 fa9 fa10 fa3 fa13 fa29 fa1 fa37 fa12 fa4 fa10 fa0 fa5 gpmc_a[27:17] gpmc_ad[15:0]
136 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. in gpmc_csn[x], x is equal to 0, 1, 2 or 3. in gpmc_wait[x], x is equal to 0 or 1. figure 5-42. gpmc and multiplexed nor flash ? asynchronous write ? single word for more information, see section general-purpose memory controller (gpmc) in chapter memory subsystem of the device trm. 5.10.4.6 i2c for more details about features and additional description information on the device inter-integrated circuit, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-48 and figure 5-43 assume testing over the recommended operating conditions and electrical characteristic conditions. table 5-48. timing requirements for i2c input timings no. standard mode fast mode unit min max min max i1 t c(scl) cycle time, scl 10 2.5 s i2 t su(sclh-sdal) setup time, scl high before sda low (for a repeated start condition) 4.7 0.6 s i3 t h(sdal-scll) hold time, scl low after sda low (for a start and a repeated start condition) 4 0.6 s i4 t w(scll) pulse duration, scl low 4.7 1.3 s i5 t w(sclh) pulse duration, scl high 4 0.6 s i6 t su(sdav-sclh) setup time, sda valid before scl high 250 100 (1) ns i7 t h(scll-sdav) hold time, sda valid after scl low 0 (2) 3.45 (3) 0 (2) 0.9 (3) s i8 t w(sdah) pulse duration, sda high between stop and start conditions 4.7 1.3 s gpmc_fclk gpmc_clk gpmc_csn[x] gpmc_a[27:17] gpmc_be0n_cle gpmc_be1n gpmc_advn_ale gpmc_wen gpmc_ad[15:0] gpmc_wait[x] address (msb) valid address (lsb) data out fa0 fa1 fa9 fa10 fa3 fa25 fa29 fa12 fa27 fa28 fa0 fa10 advance information
137 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-48. timing requirements for i2c input timings (continued) no. standard mode fast mode unit min max min max i9 t r(sda) rise time, sda 1000 20 + 0.1cb (4) 300 ns i10 t r(scl) rise time, scl 1000 20 + 0.1cb (4) 300 ns i11 t f(sda) fall time, sda 300 20 + 0.1cb (4) 300 ns i12 t f(scl) fall time, scl 300 20 + 0.1cb (4) 300 ns i13 t su(sclh-sdah) setup time, high before sda high (for stop condition) 4 0.6 s i14 t w(sp) pulse duration, spike (must be suppressed) 0 50 0 50 ns (1) a fast-mode i2c-bus ? device can be used in a standard-mode i2c-bus system, but the requirement t su(sda-sclh) 250 ns must then be met. this is automatically the case if the device does not stretch the low period of the scl signal. if such a device stretches the low period of the scl signal, it must output the next data bit to the sda line t r max + t su(sda-sclh) = 1000 + 250 = 1250 ns (according to the standard-mode i2c-bus specification) before the scl line is released. (2) a device must internally provide a hold time of at least 300 ns for the sda signal (referred to the v ihmin of the scl signal) to bridge the undefined region of the falling edge of scl. (3) the maximum t h(sda-scll) has only to be met if the device does not stretch the low period [t w(scll) ] of the scl signal. (4) cb is line load in pf. figure 5-43. i2c receive timing (1) (1) x in i2cx_sda and i2cx_scl is 0, 1 or 2. table 5-49 and figure 5-44 assume testing over the recommended operating conditions and electrical characteristic conditions. table 5-49. switching characteristics over recommended operating conditions for i2c output timings no. parameter standard mode fast mode unit min max min max i15 t c(scl) cycle time, scl 10 2.5 s i16 t su(sclh-sdal) setup time, scl high before sda low (for a repeated start condition) 4.7 0.6 s i17 t h(sdal-scll) hold time, scl low after sda low (for a start and a repeated start condition) 4 0.6 s i18 t w(scll) pulse duration, scl low 4.7 1.3 s i19 t w(sclh) pulse duration, scl high 4 0.6 s i20 t su(sdav-sclh) setup time, sda valid before scl high 250 100 ns i21 t h(scll-sdav) hold time, sda valid after scl low 0 3.45 0 0.9 s i22 t w(sdah) pulse duration, sda high between stop and start conditions 4.7 1.3 s i23 t r(sda) rise time, sda 1000 20 + 0.1cb (1) 300 ns i24 t r(scl) rise time, scl 1000 20 + 0.1cb (1) 300 ns i10 i8 i4 i3 i7 i12 i5 i6 i14 i2 i3 i13 stop start repeated start stop i2cx_sda i2cx_scl i1 i11 i9 advance information
138 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-49. switching characteristics over recommended operating conditions for i2c output timings (continued) no. parameter standard mode fast mode unit min max min max i25 t f(sda) fall time, sda 300 20 + 0.1cb (1) 300 ns i26 t f(scl) fall time, scl 300 20 + 0.1cb (1) 300 ns i27 t su(sclh-sdah) setup time, high before sda high (for stop condition) 4 0.6 s (1) cb is line load in pf. note i2c emulation is achieved by configuring the lvcmos buffers to output hiz instead of driving high when transmitting logic-1. figure 5-44. i2c transmit timing (1) (1) x in i2cx_sda and i2cx_scl is 0, 1 or 2. for more information, see section inter-ic module (i2c) in chapter peripherals of the device trm. i25 i23 i19 i18 i22 i27 i20 i21 i17 i18 i28 stop start repeated start stop i2c[x]_sda i2c[x]_scl i16 i26 i24 advance information
139 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4.7 mcasp for more details about features and additional description information on the device multichannel audio serial port, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-50 , table 5-51 , and figure 5-45 present timing requirements for mcasp0 to mcasp2. table 5-50. timing requirements for mcasp (4) no. min max unit asp1 t c(ahclkrx) cycle time, mcasp[x]_ahclkr/x 20 ns asp2 t w(ahclkrx) pulse duration, mcasp[x]_ahclkr/x high or low 0.5p - 2.5 (2) ns asp3 t c(aclkrx) cycle time, mcasp[x]_aclkr/x 20 ns asp4 t w(aclkrx) pulse duration, mcasp[x]_aclkr/x high or low 0.5r - 2.5 (3) ns asp5 t su(afsrx-aclkrx) setup time, mcasp[x]_afsr/x input valid before mcasp[x]_aclkr/x aclkr/x int 12.3 ns aclkr/x ext in 4 aclkr/x ext out 4 asp6 t h(aclkrx-afsrx) hold time, mcasp[x]_afsr/x input valid after mcasp[x]_aclkr/x aclkr/x int -1 ns aclkr/x ext in 1.6 aclkr/x ext out 1.6 asp7 t su(axr-aclkrx) setup time, mcasp[x]_axr input valid before mcasp[x]_aclkr/x aclkr/x int 12.3 ns aclkr/x ext in 4 aclkr/x ext out 4 asp8 t h(aclkrx-axr) hold time, mcasp[x]_axr input valid after mcasp[x]_aclkr/x aclkr/x int -1 ns aclkr/x ext in 1.6 aclkr/x ext out 1.6 (1) aclkr internal: aclkrctl.clkrm = 1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm = 0, pdir.aclkr = 0 aclkr external output: aclkrctl.clkrm = 0, pdir.aclkr=1 aclkx internal: aclkxctl.clkxm = 1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm = 0, pdir.aclkx = 0 aclkx external output: aclkxctl.clkxm = 0, pdir.aclkx = 1 (2) p = mcasp[x]_ahclkr and mcasp[x]_ahclkx period in ns. (3) r = mcasp[x]_aclkr and mcasp[x]_aclkx period in ns. (4) x in mcasp[x]_* is 0, 1 or 2 advance information
140 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. for clkrp = clkxp = 0, the mcasp transmitter is configured for rising edge (to shift data out) and the mcasp receiver is configured for falling edge (to shift data in). b. for clkrp = clkxp = 1, the mcasp transmitter is configured for falling edge (to shift data out) and the mcasp receiver is configured for rising edge (to shift data in). figure 5-45. mcasp input timing (1) x in mcasp[x]_* is 0, 1 or 2 asp8 asp7 asp4 asp4 asp3 asp2 asp2 asp1 a0 a1 b0 b1 a30 a31 b30 b31 c0 c1 c2 c3 c31 mcasp[x]_aclkr/x (falling edge polarity) mcasp[x]_ahclkr/x (rising edge polarity) mcasp[x]_afsr/x (bit width, 0 bit delay)mcasp[x]_afsr/x (bit width, 1 bit delay) mcasp[x]_afsr/x (bit width, 2 bit delay) mcasp[x]_afsr/x (slot width, 0 bit delay)mcasp[x]_afsr/x (slot width, 1 bit delay) mcasp[x]_afsr/x (slot width, 2 bit delay) mcasp[x]_axr[x] (data in/receive) asp6 asp5 mcasp[x]_aclkr/x (clkrp = clkxp = 0) (a) mcasp[x]_aclkr/x (clkrp = clkxp = 1) (b) advance information
141 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-51 and figure 5-46 present switching characteristics over recommended operating conditions for mcasp0 to mcasp2. table 5-51. switching characteristics over recommended operating conditions for mcasp (4) no. min max unit asp9 t c(ahclkrx) cycle time, mcasp[x]_ahclkr/x 20 (2) ns asp10 t w(ahclkrx) pulse duration, mcasp[x]_ahclkr/x high or low 0.5p - 2.5 (3) ns asp11 t c(aclkrx) cycle time, mcasp[x]_aclkr/x 20 ns asp12 t w(aclkrx) pulse duration, mcasp[x]_aclkr/x high or low 0.5p - 2.5 (3) ns asp13 t d(aclkrx-afsrx) delay time, mcasp[x]_aclkr/x transmit edge to mcasp[x]_afsr/x output valid aclkr/x int 0 7.25 ns aclkr/x ext in 2 14 delay time, mcasp[x]_aclkr/x transmit edge to mcasp[x]_afsr/x output valid with pad loopback aclkr/x ext out 2 14 asp14 t d(aclkx-axr) delay time, mcasp[x]_aclkx transmit edge to mcasp[x]_axr output valid aclkx int 0 7.25 ns aclkx ext in 2 14 delay time, mcasp[x]_aclkx transmit edge to mcasp[x]_axr output valid with pad loopback aclkx ext out 2 14 asp15 t dis(aclkx-axr) disable time, mcasp[x]_aclkx transmit edge to mcasp[x]_axr output high impedance aclkx int 0 7.25 ns aclkx ext in 2 14 disable time, mcasp[x]_aclkx transmit edge to mcasp[x]_axr output high impedance with pad loopback aclkx ext out 2 14 (1) aclkr internal: aclkrctl.clkrm = 1, pdir.aclkr = 1 aclkr external input: aclkrctl.clkrm = 0, pdir.aclkr = 0 aclkr external output: aclkrctl.clkrm = 0, pdir.aclkr = 1 aclkx internal: aclkxctl.clkxm = 1, pdir.aclkx = 1 aclkx external input: aclkxctl.clkxm = 0, pdir.aclkx = 0 aclkx external output: aclkxctl.clkxm = 0, pdir.aclkx = 1 (2) 50 mhz (3) p = ahclkr and ahclkx period. (4) x in mcasp[x]_* is 0, 1 or 2 advance information
142 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated a. for clkrp = clkxp = 1, the mcasp transmitter is configured for falling edge (to shift data out) and the mcasp receiver is configured for rising edge (to shift data in). b. for clkrp = clkxp = 0, the mcasp transmitter is configured for rising edge (to shift data out) and the mcasp receiver is configured for falling edge (to shift data in). figure 5-46. mcasp output timing (1) x in mcasp[x]_* is 0, 1 or 2 for more information, see section multi-channel audio serial port (mcasp) in chapter peripherals of the device trm. asp15 asp14 asp13 asp13 asp12 asp12 asp11 asp10 asp10 asp9 a0 a1 b0 b1 a30 a31 b30 b31 c0 c1 c2 c3 c31 asp13 asp13 asp13 asp13 asp13 mcasp[x]_aclkr/x (falling edge polarity) mcasp[x]_ahclkr/x (rising edge polarity) mcasp[x]_afsr/x (bit width, 0 bit delay)mcasp[x]_afsr/x (bit width, 1 bit delay) mcasp[x]_afsr/x (bit width, 2 bit delay) mcasp[x]_afsr/x (slot width, 0 bit delay)mcasp[x]_afsr/x (slot width, 1 bit delay) mcasp[x]_afsr/x (slot width, 2 bit delay) mcasp[x]_axr[x] (data out/transmit) mcasp[x]_aclkr/x (clkrp = clkxp = 1) (a) mcasp[x]_aclkr/x (clkrp = clkxp = 0) (b) advance information
143 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4.8 mcbsp for more details about features and additional description information on the device multichannel buffered serial port, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-52 , table 5-53 , and figure 5-47 present timing requirements and switching characteristics for mcbsp interface. table 5-52. mcbsp timing requirements (1) no. min max unit bsp2 t c(ckrx) cycle time, clkr/x clkr/x ext 2p (2) or 20 (3) ns bsp3 t w(ckrx) pulse duration, clkr/x high or clkr/x low clkr/x ext p-1 (4) ns bsp5 t su(frh-ckrl) setup time, external fsr high before clkr low clkr int 14 ns clkr ext 4 bsp6 t h(ckrl-frh) hold time, external fsr high after clkr low clkr int 6 ns clkr ext 3 bsp7 t su(drv-ckrl) setup time, dr valid before clkr low clkr int 14 ns clkr ext 4 bsp8 t h(ckrl-drv) hold time, dr valid after clkr low clkr int 3 ns clkr ext 3 bsp10 t su(fxh-ckxl) setup time, external fsx high before clkx low clkr int 14 ns clkr ext 4 bsp11 t h(ckxl-fxh) hold time, external fsx high after clkx low clkr int 6 ns clkr ext 3 (1) clkrp = clkxp = fsrp = fsxp = 0. if polarity of any of the signals is inverted, then the timing references of that signal are also inverted. (2) p = 1/sysclk1 period in ns. (3) use whichever value is greater. minimum clkr/x cycle times must be met, even when clkr/x is generated by an internal clock source. the minimum clkr/x cycle times are based on internal logic speed; the maximum usable speed may be lower due to edma limitations and ac timing requirements. (4) this parameter applies to the maximum mcbsp frequency. operate serial clocks (clkr/x) in the reasonable range of 40/60 duty cycle. table 5-53. mcbsp switching characteristics (1) no. parameter min max unit bsp1 t d(cksh-ckrxh) delay time, clks high to clkr/x high for internal clkr/x generated from clks input. 1 14.5 ns bsp2 t c(ckrx) cycle time, clkr/x clkr/x int 2p (2) or 20 (3) ns bsp3 t w(ckrx) pulse duration, clkr/x high or clkr/x low clkr/x int c ? 2 (4) c + 2 (4) ns bsp4 t d(ckrh-frv) delay time, clkr high to internal fsr valid clkr int ? 4 5.5 ns clkr int 1 14.5 ns bsp9 t d(ckxh-fxv) delay time, clkx high to internal fsx valid clkx int ? 4 5.5 ns clkx ext 1 14.5 bsp12 t dis(ckxh-dxhz) disable time, dx hiz following last data bit from clkx high clkx int ? 4 7.5 ns clkx ext 1 14.5 bsp13 t d(ckxh-dxv) delay time, clkx high to dx valid clkx int ? 4 + d1 (5) 5.5 + d2 (5) ns clkx ext 1 + d1 (5) 14.5 + d2 (5) bsp14 t d(fxh-dxv) delay time, fsx high to dx valid applies only when in data delay 0 (xdatdly = 00b) mode fsx int ? 4 + d1 (6) 5 + d2 (6) ns fsx ext ? 2 + d1 (6) 14.5 + d2 (6) advance information
144 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) minimum delay times also represent minimum output hold times. (2) p = 1/sysclk1 period in ns. (3) use whichever value is greater. (4) c = h or l s = sample rate generator input clock = p if clksm = 1 (p = 1/sysclk1 period in ns) s = sample rate generator input clock = p_clks if clksm = 0 (p_clks = clks period) if clkgdv is even: (1) h = clkx high pulse width = (clkgdv/2 + 1) s (2) l = clkx low pulse width = (clkgdv/2) s if clkgdv is odd: (1) h = (clkgdv + 1)/2 s (2) l = (clkgdv + 1)/2 s clkgdv should be set appropriately to ensure the mcbsp bit rate does not exceed the maximum limit. (5) extra delay from clkx high to dx valid applies only to the first data bit of a device, if and only if dxena = 1 in spcr. if dxena = 0, then d1 = d2 = 0 if dxena = 1, then d1 = 4p, d2 = 8p (6) extra delay from fsx high to dx valid applies only to the first data bit of a device, if and only if dxena = 1 in spcr. if dxena = 0, then d1 = d2 = 0 if dxena = 1, then d1 = 4p, d2 = 8p figure 5-47. mcbsp timing table 5-54. mcbsp timing requirements for fsr when gsync = 1 no. min max unit bspf1 t su(frh-cksh) setup time, fsr high before clks high 4 ns bspf2 t h(cksh-frh) hold time, fsr high after clks high 4 ns bit(n-1) (n-2) (n-3) bit 0 (n-2) (n-3) bsp14 bsp12 bsp11 bsp10 bsp9 bsp8 bsp7 bsp6 bsp5 bsp4 bsp4 bsp1 bsp3 bsp2 clks clkr fsr (int) fsr (ext) dr clkx fsx (int) fsx (ext) fsx (xdatdly=00b) dx bsp13 bsp13 (b) bsp3 bsp3 bsp2 bsp3 bit(n-1) advance information
145 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-48. fsr timing when gsync = 1 5.10.4.9 mlb for more details about features and additional description information on the device media local bus, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . note mlb in 6-pin mode may require pullups/ pulldowns on sig and dat bus signals. for additional details, please consult the medialb interface specification. table 5-55 and figure 5-49 present timing requirements for mlbclk 3-pin option. table 5-55. timing requirements for mlbclk 3-pin option no. parameter description mode min max unit m31 t c(mlbclk) cycle time, mlb_clk 512fs 39 ns 1024fs 19.5 ns m32 t w(mlbclkh) pulse duration, mlb_clk high 512fs 14 ns 1024fs 9.3 ns m33 t w(mlbclkl) pulse duration, mlb_clk low 512fs 14 ns 1024fs 6.1 ns m34 t t(mlbclkh) transition time, mlb_clk high 512fs tbd ns 1024fs tbd ns t t(mlbclkl) transition time, mlb_clk low 512fs tbd ns 1024fs tbd ns figure 5-49. mlb_clk timing table 5-56 , table 5-57 , and figure 5-50 present timing requirements and switching characteristics for mlb 3-pin option. advance information clks fsr external clkr/x (no need to resync) clkr/x (needs resync) bspf1 bspf2 mlb_clk m31 m32 m34 m34 m33
146 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-56. timing requirements for receive data for the mlb 3-pin option no. parameter description mode min max unit m35 t su(mlbdat-mlbclkl) setup time, mlb_dat/mlb_sig input valid before mlb_clk low 512fs 1 ns 1024fs 1 ns m36 t h(mlbclkl-mlbdat) hold time, mlb_dat/mlb_sig input valid after mlb_clk low 512fs 4 ns 1024fs 2 ns table 5-57. switching characteristics over recommended operating conditions for mlb 3-pin option no. parameter description mode min max unit m37 t d(mlbclkh-mlbdatv) delay time, mlb_clk rising to mlb_dat/mlb_sig valid 512fs 0 10 ns 1024fs 0 7 ns m38 t dis(mlbclkl- mlbdatz) disable time, mlb_clk falling to mlb_dat/mlb_sig hiz 512fs 0 14 ns 1024fs 0 6.1 ns figure 5-50. mlb 3-pin timing table 5-58 and figure 5-51 present timing requirements for mlkclk 6-pin option. table 5-58. timing requirements for mlbclk 6-pin option (1) no. parameter description mode min max unit m61 t c(clkx) cycle time, mlbp_clk_x 2048fs 10 ns m62 t w(clkxh) pulse duration, mlbp_clk_x high 2048fs 4.5 ns m63 t w(clkxl) pulse duration, mlbp_clk_x low 2048fs 4.5 ns m64 t t(clkxh) transition time, mlbp_clk_x high 2048fs tbd ns t t(clkxl) transition time, mlbp_clk_x low 2048fs tbd ns (1) x in mlbp_clk_x is p or n. figure 5-51. mlb_clkp/n timing (1) (1) x in mlbp_clk_x is p or n. table 5-59 , table 5-60 , and figure 5-52 present timing requirements and switching characteristics for mlb 6-pin option. mlbp_clk_x m61 m62 m64 m64 m63 m35 m36 m38 m37 mlb_clk mlb_sig mlb_dat mlb_sig mlb_dat sprs93v_mlb_timing_2 (receive) (transmit) advance information
147 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-59. timing requirements for receive data for the mlb 6-pin option (1) no. parameter description mode min max unit m65 t su(datx-clkxh) setup time, mlbp_dat_x/mlbp_sig_x input valid before mlbp_clk_x rising 2048fs 1 ns m66 t h(clkxh-datx) hold time, mlbp_dat_x_/mlbp_sig_x input valid after mlbp_clk_x rising 2048fs 0.5 ns (1) x in mlbp_clk_x, mlbp_dat_x, and mlbp_sig_x is p or n. table 5-60. switching characteristics over recommended operating conditions for mlb 6-pin option (1) no. parameter description mode min max unit m67 t d(clkxh-datxv) delay time, mlbp_clk_x rising to mlb_dat_x/mlb_sig_x valid 2048fs 0.5 7 ns m68 t dis(clkxh-datxz) disable time, mlbp_clk_x rising to mlbp_dat_x/mlbp_sig_x hiz 2048fs 0.5 7 ns (1) x in mlbp_clk_x, mlbp_dat_x, and mlbp_sig_x is p or n. figure 5-52. mlb 6-pin timing (1) (1) x in mlbp_clk_x, mlbp_dat_x, and mlbp_sig_x is p or n. for more information, see section media local bus (mlb) in chapter peripherals of the device trm. 5.10.4.10 mmc/sd for more details about features and additional description information on the device multi media card, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . note the mmc/sd/sdioi (i = 0 to 1) controller is also referred to as mmci. table 5-61. mmc timing conditions timing condition parameter min typ max unit input conditions t r input signal rise time (10% to 90%) 1 2.2 ns t f input signal fall time (90% to 10%) 1 2.2 ns output condition c load output load capacitance 2 40 pf m66 m68 m67 mlbp_clk_x mlbp_sig_x mlbp_dat_x mlbp_sig_x mlbp_dat_x sprs93v_mlb_timing_4 (receive) (transmit) m65 advance information
148 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-62. timing requirements for mmc0_cmd and mmc0_datn (1) (see figure 5-53 ) no. parameter 3.3 v unit min typ max mmc1 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 3.9 ns mmc2 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 2.5 ns mmc3 t su(datv-clkh) setup time, mmc_datn valid before mmc_clk rising clock edge 3.9 ns mmc4 t h(clkh-datv) hold time, mmc_datn valid after mmc_clk rising clock edge 2.5 ns (1) n in mmc_datn is 0 to 7. table 5-63. timing requirements for mmc1_cmd and mmc1_datn when operating in sdr mode (1) (see figure 5-53 ) no. parameter 1.8 v unit min typ max mmc1 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 4.2 ns mmc2 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 2.5 ns mmc3 t su(datv-clkh) setup time, mmc_datn valid before mmc_clk rising clock edge 4.2 ns mmc4 t h(clkh-datv) hold time, mmc_datn valid after mmc_clk rising clock edge 2.5 ns (1) n in mmc_datn is 0 to 7. figure 5-53. mmci_cmd and mmci_datn input timing (1) (1) i in mmci_clk, mmci_cmd, and mmci_datn is 0 or 1, where n = 0 to 7. table 5-64. timing requirements for mmc1_cmd and mmc1_datn when operating in ddr mode (3) (see figure 5-54 ) no. parameter 1.8 v unit min typ max mmc1 t su(cmdv-clkh) setup time, mmc_cmd valid before mmc_clk rising clock edge 4.2 ns mmc2 t h(clkh-cmdv) hold time, mmc_cmd valid after mmc_clk rising clock edge 2.5 ns mmc3 t su(datv-clkh) setup time, mmc_datn valid before mmc_clk rising or falling clock edge 0.5 (1) ns mmci_clk (output) mmc1 mmc2 mmci_cmd (input) mmci_datn (inputs) mmc3 mmc4 advance information
149 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-64. timing requirements for mmc1_cmd and mmc1_datn when operating in ddr mode (3) (continued) (see figure 5-54 ) no. parameter 1.8 v unit min typ max mmc4 t h(clkh-datv) hold time, mmc_clk rising or falling clock edge after mmc_datn valid 1.62 (2) ns (1) the minimum setup time of 0.5ns is a function of the maximum output delay of 7ns defined in the jesd84 standard plus the combined pcb delay of the mmc_clk and mmc_datn signal traces. therefore, the pcb shall be designed with less than 9.2ns of combined delay in the mmc_clk and mmc_datn signal traces when operating at the maximum frequency of 48 mhz. (2) the minimum hold time of 1.62ns exceeds the minimum output delay of 1.5ns defined in the jesd84 standard. therefore, the pcb shall be designed with greater than 120ps of combined delay in the mmc_clk and mmc_datn signal traces. (3) n in mmc_datn is 0 to 7. figure 5-54. mmc1_cmd and mmc1_datn input timing - ddr mode (1) (1) i in mmci_clk, mmci_cmd, and mmci_datn is 1, where n = 0 to 7. table 5-65. switching characteristics for mmci_clk (2) (see figure 5-55 ) no. parameter min typ max unit mmc5 f op(clk) operating frequency, mmc_clk 48 mhz t cop(clk) operating period: mmc_clk 20.8 ns f id(clk) identification mode frequency, mmc_clk 400 khz t cid(clk) identification mode period: mmc_clk 2500 ns mmc6 t w(clkl) pulse duration, mmc_clk low (0.5 p) - t f(clk) (1) ns mmc7 t w(clkh) pulse duration, mmc_clk high (0.5 p) - t r(clk) (1) ns mmc8 t r(clk) rise time, all signals (10% to 90%) 2.2 ns mmc9 t f(clk) fall time, all signals (90% to 10%) 2.2 ns (1) p = mmc_clk period. (2) i in mmci_clk is 0 or 1. figure 5-55. mmci_clk timing (1) (1) i in mmci_clk is 0 or 1. mmci_clk (output) mmc5 mmc7 mmc6 mmc8 mmc9 mmci_clk (output) mmc1 mmc2 mmci_cmd (input) mmci_datn (inputs) mmc4 mmc3 mmc4 mmc3 advance information
150 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-66. switching characteristics for mmc0_cmd and mmc0_datn ? hspe=0 (1) (see figure 5-56 ) no. parameter 3.3 v unit min typ max mmc10 t d(clkl-cmd) delay time, mmc_clk falling clock edge to mmc_cmd transition -7.4 4.4 ns mmc11 t d(clkl-dat) delay time, mmc_clk falling clock edge to mmc_datn transition -7.4 4.4 ns (1) n in mmc_datn is 0 to 7. table 5-67. switching characteristics for mmc1_cmd and mmc1_datn ? hspe=0 when operating in sdr mode (1) (see figure 5-56 ) no. parameter 1.8 v unit min typ max mmc10 t d(clkl-cmd) delay time, mmc_clk falling clock edge to mmc_cmd transition -7.4 7.4 ns mmc11 t d(clkl-dat) delay time, mmc_clk falling clock edge to mmc_datn transition -7.4 7.4 ns (1) n in mmc_datn is 0 to 7. figure 5-56. mmci_cmd and mmci_datn output timing ? hspe=0 (1) (1) i in mmci_clk, mmci_cmd, and mmci_datn is 0 or 1, where n = 0 to 7. table 5-68. switching characteristics for mmc1_cmd and mmc1_datn ? hspe=0 when operating in ddr mode (1) (see figure 5-57 ) no. parameter 1.8 v unit min typ max mmc10 t d(clkl-cmd) delay time, mmc_clk falling clock edge to mmc_cmd transition -4.4 2.2 ns mmc11 t d(clkl-dat) delay time, mmc_clk rising or falling clock edge to mmc_datn transition -4.4 2.2 ns mmc10 mmci_clk (output) mmci_cmd (output) mmci_datn (outputs) mmc11 advance information
151 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) n in mmc_datn is 0 to 7. figure 5-57. mmc1_cmd and mmc1_datn output timing ? hspe=0 ? ddr mode (1) (1) i in mmci_clk, mmci_cmd, and mmci_datn is 1, where n = 0 to 7. 5.10.4.11 pciess for more details about features and additional description information on the device peripheral component interconnect express, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . 5.10.4.12 pru-icss the device has integrated two identical pru subsystems (pru-icss_0 and pru-icss_1). the programmable nature of the pru cores, along with their access to pins, events and all device resources, provides flexibility in implementing fast real-time responses, specialized data handling operations, custom peripheral interfaces, and in offloading tasks from the other processor cores of the device. for more details about features and additional description information on the device programmable real- time unit subsystem and industrial communication subsystem, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . note the pru-icss_0 and pru-icss_1 support an internal wrapper multiplexing that expands the device top-level multiplexing. signal naming in this section must match the internal wrapper multiplexing. for more information, please refer to the device trm, chapter processors and accelerators , section programmable real-time unit subsystem and industrial communication subsystem (pru-icss) . 5.10.4.12.1 programmable real-time unit (pru-icss pru) note the pru-icss pru signals have different functionality depending on the mode of operation. the signal naming in this section matches the naming used in the pru module interface section in the device trm. 5.10.4.12.1.1 pru-icss pru direct input/output mode electrical data and timing table 5-69. pru-icss pru timing requirements - direct input mode no. parameter min max unit prdi1 t w(gpi) pulse width, gpi 2 p (1) ns mmc10 mmci_clk (output) mmci_cmd (output) mmci_datn (outputs) mmc11 mmc11 advance information
152 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) p = icss_n_coren_clk clock period, where n = 0 or 1. figure 5-58. pru-icss pru direct input timing (1) m in gpi[m:0] = 19. table 5-70. pru-icss pru switching requirements ? direct output mode no. parameter min max unit prdo1 t w(gpo) pulse width, gpo 2 p (1) ns prdo2 t sk(gpo) skew between gpo[19:0] signals 3 ns (1) p = icss_n_coren_clk clock period, where n = 0 or 1. figure 5-59. pru-icss pru direct output timing (1) n in gpo[n:0] = 19. 5.10.4.12.1.2 pru-icss pru parallel capture mode electrical data and timing table 5-71. pru-icss pru timing requirements ? parallel capture mode no. parameter min max unit prpc1 t w(clockin) cyle time, clockin 20 ns prpc2 t w(clockinl) pulse duration, clockin low 10 ns prpc3 t w(clockinh) pulse duration, clockin high 10 ns prpc4 t su(datain-clockin) setup time, datain valid before clockin 4.4 ns prpc5 t h(clockin-datain) hold time, datain valid after clockin 0 ns (1) p = icss_n_coren_clk clock period, where n = 0 or 1. figure 5-60. pru-icss pru parallel capture timing ? rising edge mode clockin datain prpc1 prpc3 prpc2 prpc4 prpc5 sprs91x_timing_pru_03 gpi[m:0] prdi1 sprs91x_timing_pru_01 gpo[n:0] prdo2 prdo1 sprs91x_timing_pru_02 advance information
153 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-61. pru-icss pru parallel capture timing ? falling edge mode 5.10.4.12.1.3 pru-icss pru shift mode electrical data and timing table 5-72. pru-icss pru timing requirements ? shift in mode no. parameter min max unit prsi1 t w(datain) pulse width, datain 2 p (1) + 3 ns (1) p = internal shift in clock period, defined by prun_gpi_div0 and prun_gpi_div1 bit fields in the pruss_gpcfgn register. for more information, see section programmable real-time unit subsystem and industrial communication subsystem (pru-icss) in chapter processors and accelerators of the device trm. figure 5-62. pru-icss pru shift in timing table 5-73. pru-icss pru switching requirements ? shift out mode no. parameter min max unit prso1 t c(clockout) cycle time, clockout 13.3 ns prso2 t w(clockout) pulse width, clockout 0.4 p (1) 0.5 p (1) ns prso3 t d(clockout-dataout) delay time, clockout to dataout valid -1.5 3 ns (1) p = software programmable shift out clock period, defined by prun_gpo_div0 and prun_gpo_div1 bit fields in the pruss_gpcfgn register. for more information, see section programmable real-time unit subsystem and industrial communication subsystem (pru-icss) in chapter processors and accelerators of the device trm. figure 5-63. pru-icss pru shift out timing 5.10.4.12.2 pru-icss ethercat ? (pru-icss ecat) 5.10.4.12.2.1 pru-icss ecat electrical data and timing advance information clockin datain prpc1 prpc2 prpc3 prpc5 sprs91x_timing_pru_04 prpc4 datain prsi1 sprs91x_timing_pru_05 clockout dataout prso1 prso2 prso3 sprs91x_timing_pru_06
154 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-74. pru-icss ecat timing requirements ? input validated with syncx no. parameter min max unit edcs1 t w(edc_syncx_out) pulse width, edc_syncx_out 100.00 ns edcs2 t su(edio_data_in- edc_syncx_out) setup time, edio_data_in valid before edc_syncx_out rising edge 20.00 ns edcs3 t h(edc_syncx_out- edio_data_in) hold time, edio_data_in valid after edc_syncx_out rising edge 20.00 ns figure 5-64. pru-icss ecat input validated with syncx timing table 5-75. pru-icss ecat timing requirements ? latchx_in no. parameter min max unit edcl1 t w(edc_latchx_in) pulse duration, edc_latchx_in 3 p (1) ns (1) p = icss_n_iep_clk, where n = 0 or 1. figure 5-65. pru-icss ecat latchx_in timing table 5-76. pru-icss ecat switching requirements ? digital ios no. parameter min max unit ediod1 t sk(edio_data_out) edio_data_out skew 8 ns figure 5-66. pru-icss edio data_out timing (1) n in edio_data_out[n:0] = 3. 5.10.4.12.3 pru-icss mii_rt and switch 5.10.4.12.3.1 pru-icss mdio electrical data and timing edio_data_out[n:0] sprs91x_timing_pru_edio_data_out ediod1 edc_syncx_out edcs3 edcs1 edio_data_in[3:0] sprs91x_timing_pru_ecat_02 edcs2 edc_latchx_in edcl1 sprs91x_timing_pru_ecat_04 advance information
155 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-77. pru-icss mdio timing requirements ? mdio_data no. parameter min max unit prmdi1 t su(mdio-mdc) setup time, mdio valid before mdc high 90 ns prmdi2 t h(mdio-mdc) hold time, mdio valid from mdc high 0 ns figure 5-67. pru-icss mdio_data timing ? input mode table 5-78. pru-icss mdio switching characteristics ? mdio_clk no. parameter min max unit prmc1 t c(mdc) cycle time, mdc 400 ns prmc2 t w(mdch) pulse duration, mdc high 160 ns prmc3 t w(mdcl) pulse duration, mdc low 160 ns prmc4 t t(mdc) transition time, mdc 5 ns figure 5-68. pru-icss mdio_clk timing table 5-79. pru-icss mdio switching characteristics ? mdio_data no. parameter min max unit prmdo1 t d(mdc-mdio) delay time, mdc high to mdio valid 10 390 ns figure 5-69. pru-icss mdio_data timing ? output mode 5.10.4.12.3.2 pru-icss mii_rt electrical data and timing mdio_clk (output) prmdi1 prmdi2 mdio_data (input) sprs91x_timing_pru_mii_rt_01 advance information mdio_clk prmc2 prmc3 prmc1 prmc4 prmc4 sprs91x_timing_pru_mii_rt_02 prmdo1 mdio_clk (output) mdio_data (output) sprs91x_timing_pru_mii_rt_03
156 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-80. pru-icss mii_rt timing requirements ? mii_rxclk no. parameter speed min max unit pmir1 t c(rxclk) cycle time, rxclk 10 mbps 399.96 400.04 ns 100 mbps 39.996 40.004 ns pmir2 t w(rxclkh) pulse duration, rxclk high 10 mbps 140 260 ns 100 mbps 14 26 ns pmir3 t w(rxclkl) pulse duration, rxclk low 10 mbps 140 260 ns 100 mbps 14 26 ns pmir4 t t(rxclk) transition time, rxclk 10 mbps 3 ns 100 mbps 3 ns figure 5-70. pru-icss mii_rxclk timing table 5-81. pru-icss mii_rt timing requirements ? mii_txclk no. parameter speed min max unit pmit1 t c(txclk) cycle time, txclk 10 mbps 399.96 400.04 ns 100 mbps 39.996 40.004 ns pmit2 t w(txclkh) pulse duration, txclk high 10 mbps 140 260 ns 100 mbps 14 26 ns pmit3 t w(txclkl) pulse duration, txclk low 10 mbps 140 260 ns 100 mbps 14 26 ns pmit4 t t(txclk) transition time, txclk 10 mbps 3 ns 100 mbps 3 ns figure 5-71. pru-icss mii_txclk timing mii_rxclk pmir2 pmir3 pmir1 sprs91x_timing_pru_mii_rt_04 pmir4 mii_txclk pmit2 pmit3 pmit1 pmit4 pmit4 sprs91x_timing_pru_mii_rt_05 advance information
157 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-82. pru-icss mii_rt timing requirements ? mii_rxd[3:0], mii_rxdv, and mii_rxer no. parameter speed min max unit pmir5 t su(rxd-rxclk) setup time, rxd[3:0] valid before rxclk 10 mbps 8 ns t su(rxdv-rxclk) setup time, rxdv valid before rxclk t su(rxer-rxclk) setup time, rxer valid before rxclk t su(rxd-rxclk) setup time, rxd[3:0] valid before rxclk 100 mbps 8 ns t su(rxdv-rxclk) setup time, rxdv valid before rxclk t su(rxer-rxclk) setup time, rxer valid before rxclk pmir6 t h(rxclk-rxd) hold time, rxd[3:0] valid after rxclk 10 mbps 8 ns t h(rxclk-rxdv) hold time, rxdv valid after rxclk t h(rxclk-rxer) hold time, rxer valid after rxclk t h(rxclk-rxd) hold time, rxd[3:0] valid after rxclk 100 mbps 8 ns t h(rxclk-rxdv) hold time, rxdv valid after rxclk t h(rxclk-rxer) hold time, rxer valid after rxclk figure 5-72. pru-icss mii_rxd[3:0], mii_rxdv, and mii_rxer timing table 5-83. pru-icss mii_rt switching characteristics ? mii_txd[3:0] and mii_txen no. parameter speed min max unit pmit5 t d(txclk-txd) delay time, txclk high to txd[3:0] valid 10 mbps 4 25 ns t d(txclk-txen) delay time, txclk to txen valid t d(txclk-txd) delay time, txclk high to txd[3:0] valid 100 mbps 4 25 ns t d(txclk-txen) delay time, txclk to txen valid figure 5-73. pru-icss mii_txd[3:0], mii_txen timing advance information mii_rxclk (input) pmir5 pmir6 mii_rxd[3:0], mii_rxdv, mii_rxer (inputs) sprs91x_timing_pru_mii_rt_06 pmit5 mii_txclk (input) mii_txd[3:0], mii_txen (outputs) sprs91x_timing_pru_mii_rt_07
158 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4.12.4 pru-icss universal asynchronous receiver transmitter (pru-icss uart) table 5-84. pru-icss uart timing conditions parameter min typ max unit output condition c load output load capacitance 5 25 pf table 5-85. timing requirements for pru-icss uart receive no. parameter min max unit prur1 t w(rx) pulse duration, receive start, stop, data bit 0.96u (1) 1.05u (1) ns (1) u = uart baud time = 1 / programmed baud rate. for more information, see section pru-icss uart clock generation and control in the device trm. table 5-86. switching characteristics over recommended operating conditions for pru-icss uart transmit no. parameter min max unit prut1 ? (baud) maximum programmable baud rate 0 12 mhz prut2 t w(tx) pulse duration, transmit start, stop, data bit u (1) - 2 u (1) - 2 ns (1) u = uart baud time = 1 / programmed baud rate. for more information, see section pru-icss uart clock generation and control in the device trm. figure 5-74. pru-icss uart timing 5.10.4.12.5 pru-icss pru sigma delta and endat modes table 5-87. pru-icss pru timing requirements - sigma delta mode no. parameter description min max unit prsd1 t w(sdx_clk) pulse width, sdx_clk 20 ns prsd2 t su(sdx_d-sdx_clk) setup time, sdx_d valid before sdx_clk active edge 10 ns prsd3 t h(sdx_clk-sdx_d) hold time, sdx_d valid before sdx_clk active edge 5 ns prut2 start bit data bits pr _uart0_txd i sprs91x_timing_pru_uart_01 prut2 prur1 data bits bit start pr _uart0_rxd i prur1 (1)(1) (1) i in pr _uart0_txd and pr _uart0_rxd = 1 or 2 i i advance information
159 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-75. pru-icss pru sd_clk falling active edge figure 5-76. pru-icss pru sd_clk rising active edge table 5-88. pru-icss pru timing requirements - endat mode no. parameter description min max unit prte1 t w(endatx_in) pulse width, endatx_in 40 ns table 5-89. pru-icss pru switching requirements - endat mode no. parameter description min max unit prse2 t w(endatx_clk) pulse width, endatx_clk 20 ns prse3 t d(endatx_out- endatx_clk) delay time, endatx_clk fall to endatx_out -10 10 ns prse4 t d(endatx_out_en- endatx_clk) delay time, endatx_clk fall to endatx_out_en -10 10 ns advance information sdx_clk prsd1 prsd2 prsd3 sprs91x_timing_pru_08 sdx_d sdx_clk sprs91x_timing_pru_07 prsd1 prsd1 prsd3 prsd2 sdx_d
160 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-77. pru-icss pru endat timing for more information, see section programmable real-time unit subsystem and industrial communication subsystem (pru-icss) in chapter processors and accelerators of the device trm. 5.10.4.13 qspi for more details about features and additional description information on the device quad serial port interface, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-90 and table 5-91 present timing requirements and switching characteristics for qspi interface. table 5-90. timing requirements for qspi no. parameter min max unit q7 t su(d-rtclk) setup time, qspi_d[3:0] valid before active qspi_rtclk edge 1.5 ns q8 t h(rtclk-d) hold time, qspi_d[3:0] valid after inactive qspi_rtclk edge 0 ns endatx_in endatx_clk prse4 endatx_out endatx_out_en sprs91x_timing_pru_09 prse3 prte1 prse2 prse2 advance information
161 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-78. qspi read (mode [3:0]) table 5-91. switching characteristics for qspi no. parameter min max unit q1 t c(clk) cycle time, qspi_clk 10.42 (1) ns q2 t w(clk l) pulse duration, qspi_clk low 0.48 p (2) ns q3 t w(clk h) pulse duration, qspi_clk high 0.48 p (2) ns q4 t d(csn-clk) delay time, qspi_csn active edge to qspi_clk transition 5.00 ns q5 t d(clk-csn) delay time, qspi_clk transition to qspi_csn inactive edge 5.00 ns q6 t d(clk-d0) delay time, qspi_clk active edge to qspi_d[0] transition 0 2 ns (1) maximum supported frequency is 96 mhz (mode 0 only). (2) p = qspi_clk period. figure 5-79. qspi write (mode [3:0]) for more information, see section quad serial peripheral interface (qspi) in chapter peripherals of the device trm. 5.10.4.14 spi for more details about features and additional description information on the device serial port interface, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . qspi_csn j qspi_clk qspi_d[3:0] bit n-1 bit n-2 command command bit 1 read data read data bit 0 q1 q3 q2 q4 q6 q6 q5 q8 q7 q8 q7 qspi_read_clock mode 0 qspi_rtclk advance information qspi_csn j qspi_clk qspi_d[0] bit n-1 bit n-2 command command bit 1 write data write data qspi_d[3:1] q1 q3 q2 q4 bit 0 q6 q6 q5 qspi_write_clock mode 0 pha=0 pol=0 q6 q6
162 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated for more information, see section serial peripheral interface (spi) in chapter peripherals of the device trm. 5.10.4.14.1 spi ? slave mode table 5-92 , table 5-93 , figure 5-80 , and figure 5-81 present timing requirements for spi - slave mode. table 5-92. timing requirements for spi input timings ? slave mode no. parameter min max unit s1 t c(spiclk) cycle time, spi_clk 40 ns s2 t w(spiclkl) typical pulse duration, spi_clk low 0.45p (1) 0.45p (1) ns s3 t w(spiclkh) typical pulse duration, spi_clk high 0.45p (1) 0.45p (1) ns s4 t su(simo-spiclk) setup time, spi_d[x] (simo) valid before spi_clk active edge (2) (3) 2 ns s5 t h(spiclk-simo) hold time, spi_d[x] (simo) valid after spi_clk active edge (2) (3) 2 ns s8 t su(cs-spiclk) setup time, spi_cs valid before spi_clk first edge (2) 2 ns s9 t h(spiclk-cs) hold time, spi_cs valid after spi_clk last edge (2) 2 ns t d(cs-spiclk) required delay from spix_cs asserted at slave to first spi_clk edge at slave. phase = 0 c + 5 (4) ns t d(cs-spiclk) required delay from spix_cs asserted at slave to first spi_clk edge at slave. phase = 1 a + 5 (4) ns t d(spiclk-cs) required delay from final spi_clk edge before spi_cs is deasserted at slave. phase = 0 g + 5 (4) ns t d(spiclk-cs) required delay from final spi_clk edge before spi_cs is deasserted at slave. phase = 1 e + 5 (4) ns t d(csh-spcn) minimum delay from slave deselected (spi_cs deasserted) to spi_clk edge (for another slave on the bus) c + 5 (4) ns (1) p = spi_clk period. (2) this timing applies to all configurations regardless of spix_clk polarity and which clock edges are used to drive output data and capture input data. (3) pins spix_d0 and spix_d1 can function as simo or somi. (4) a = (2 p2) + (0.5 spi_clk) c = (2 p2) e = (1 p2) g = (1 p2) + (0.5 spi_clk) p2 = 1 / (sysclk1 / 6) table 5-93. switching characteristics for spi output timings ? slave mode no. parameter min max unit s6 t d(spiclk-somi) delay time, spi_clk active edge to spi_d[x] (somi) transition (1) (2) 0 12 ns s7 t ena(cs-somi) delay from master asserting spix_cs to slave driving spix_somi valid (2) 0 5 ns s10 t dis(cs-somi) delay from master deasserting spix_cs to slave 3- stating spix_somi (2) 1 x p2 (3) 1 x p2 (3) + 5 ns advance information
163 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) this timing applies to all configurations regardless of spix_clk polarity and which clock edges are used to drive output data and capture input data. (2) pins spix_d0 and spix_d1 can function as simo or somi. (3) p2 = 1 / (sysclk1 / 6). figure 5-80. spi slave mode receive timing spi_cs[x] (in) spi_sclk (in)spi_sclk (in) spi_d[x] (simo, in) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 pha=0epol=1 pol=0 pol=1 s8 s3 s4 s2 s1 s3 s2 s5 spi_cs[x] (in) spi_sclk (in)spi_sclk (in) spi_d[x] ( ) simo, in bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=1epol=1 pol=0 pol=1 s8 s3 s2 s1 s2 s3 s1 s4 s5 s4 s5 s5 s4 s9 s1 s9 spi_01 advance information
164 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-81. spi slave mode transmit timing 5.10.4.14.2 spi ? master mode table 5-95 , table 5-96 , figure 5-82 and figure 5-83 present timing requirements for spi - master mode. table 5-94. spi timing conditions ? master mode parameter min max unit input conditions t r input signal rise time 4 ns t f input signal fall time 4 ns output condition c load output load capacitance 20 pf table 5-95. timing requirements for spi input timings ? master mode no. parameter min max unit s4 t su(somi-spiclk) (1) setup time, spi_d[x] (somi) valid before spi_clk active edge (2) 3 ns s5 t h(spiclk-somi) (1) hold time, spi_d[x] (somi) valid after spi_clk active edge (2) 2 ns spi_cs[x] (in) spi_sclk (in)spi_sclk (in) spi_d[x] (somi, out) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 pha=0epol=1 pol=0 pol=1 s8 s3 s7 s2 s1 s2 s1 spi_cs[x] (in) spi_sclk (in)spi_sclk (in) spi_d[x] (somi, out) bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=1epol=1 pol=0 pol=1 s8 s3 s6 s2 s1 s2 s3 s1 s9 s6 s9 s3 spi_02 advance information
165 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) this timing applies to all configurations regardless of spix_clk polarity and which clock edges are used to capture input data. (2) pins spix_d0 and spix_d1 can function as simo or somi. table 5-96. switching characteristics for spi output timings ? master mode no. parameter min max unit s1 t c(spiclk) cycle time, spi_clk 20 (5) ns s2 t w(spiclkl) typical pulse duration, spi_clk low 0.45p (1) 0.45p (1) ns s3 t w(spiclkh) typical pulse duration, spi_clk high 0.45p (1) 0.45p (1) ns s3r t r(spiclk) rising time, spi_clk 5 ns s3f t f(spiclk) falling time, spi_clk 5 ns s6 t d(spiclk-simo) delay time, spi_clk active edge to spi_d[x] (simo) transition (2) -2 2 ns s8 t d(cs-spiclk) delay time, spi_cs active to spi_clk first edge mode 1 and 3 (3) a - 5 (4) b + 5 (4) ns mode 0 and 2 (3) c - 5 (4) d + 5 (4) ns s9 t d(spiclk-cs) delay time, spi_clk last edge to spi_cs inactive mode 1 and 3 (3) e - 5 (4) f + 5 (4) ns mode 0 and 2 (3) g - 5 (4) h + 5 (4) ns (1) p = spi_clk period. (2) pins spix_d0 and spix_d1 can function as simo or somi. (3) the polarity of spix_clk and the active edge (rising or falling) on which spix_simo is driven and spix_somi is latched is all software configurable: ? phase = 1 mode 3 and mode 1. ? phase = 0 mode 2 and mode 0. (4) a = (2 p2) + (0.5 spi_clk) b = (2 p2) + (c2tdelay +1) p2) + (0.5 spi_clk) c = (2 p2) d = (2 p2) + (c2tdelay +1) p2) e = (1 p2) f = (1 p2) + ((t2cdelay+1) p2) g = (1 p2) + (0.5 spi_clk) h = (1 p2) + ((t2cdelay+1) p2) + (0.5 spi_clk) p2 = 1/(sysclk1 / 6) (5) minimum clock period is dependent on sysclk1 and spi module prescaler settings and may be higher than shown in the table. advance information
166 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-82. spi master mode receive timing spi_cs[x] (out) spi_sclk (out)spi_sclk (out) spi_d[x] (somi, in) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 pha=0epol=1 pol=0 pol=1 s8 s9 s3 s4 s2 s1 s2 s3 s5 spi_cs[x] (out) spi_sclk (out)spi_sclk (out) spi_d[x] (somi, in) bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=1epol=1 pol=0 pol=1 s8 s9 s3 s2 s1 s2 s3 s1 s4 s5 s4 s5 s5 s4 s1 spi_03 s3f s3f s3r s3r s3f s3f s3r s3r advance information
167 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-83. spi master mode transmit timing 5.10.4.15 timers for more details about features and additional description information on the device timers, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-97. timer input timing requirements no. parameter min max unit t1 t w(tinph) pulse duration, high 12c (1) ns t2 t w(tinpl) pulse duration, low 12c (1) ns (1) c=1/sysclk1 in ns. sysclk1 clock is sourced from the main pll. table 5-98. timer output switching characteristics no. parameter min max unit t3 t w(touth) pulse duration, high 12c (1) - 3 ns t4 t w(toutl) pulse duration, low 12c (1) - 3 ns advance information spi_cs[x] (out) spi_sclk (out)spi_sclk (out) spi_d[x] (simo, out) bit n-1 bit n-2 bit n-3 bit n-4 bit 0 pha=0epol=1 pol=0 pol=1 s8 s9 s3 s7 s2 s1 s2 s3 s1 s6 spi_cs[x] (out) spi_sclk (out)spi_sclk (out) spi_d[x] (simo, out) bit n-1 bit n-2 bit n-3 bit 1 bit 0 pha=1epol=1 pol=0 pol=1 s8 s9 s3 s6 s2 s1 s2 s3 s1 spi_04 s3f s3f s3r s3r s3f s3f s3r s3r
168 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated (1) c=1/sysclk1 in ns. sysclk1 clock is sourced from the main pll. figure 5-84. timer timing for more information, see section timers in chapter peripherals of the device trm. t1 t2 timix timer_01 t3 t4 timox advance information
169 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated 5.10.4.16 uart for more details about features and additional description information on the device universal asynchronous receiver transmitter, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . table 5-99 , figure 5-85 , and figure 5-88 present timing requirements for uart interface. table 5-99. timing requirements for uart no. min max unit receive timing u4 t w(rxstart) pulse width, receive start bit 0.96u (1) 1.05u (1) ns u5 t w(rxh) pulse width, receive data/parity bit high 0.96u (1) 1.05u (1) ns t w(rxl) pulse width, receive data/parity bit low 0.96u (1) 1.05u (1) ns u6 t w(rxstop) pulse width, receive stop bit 0.96u (1) 1.05u (1) ns (1) u = uart baud time = 1 / programmed baud rate. (2) p = 1/(sysclk1/6). sysclk1 clock is sourced from the main pll. figure 5-85. uart receive timing waveform table 5-100 , figure 5-86 , and figure 5-87 present switching characteristics for uart interface. table 5-100. switching characteristics over recommended operating conditions for uart no. parameter min max unit transmit timing u1 t w(txstart) pulse width, transmit start bit u (1) - 2 u (1) + 2 ns u2 t w(txh) pulse width, transmit data/parity bit high u (1) - 2 u (1) + 2 ns t w(txl) pulse width, transmit data/parity bit low u (1) - 2 u (1) + 2 ns u3 t w(txstop1) pulse width, transmit stop bit 1 u (1) - 2 u (1) + 2 ns t w(txstop15) pulse width, transmit stop bit 1.5 1.5u (1) - 2 1.5u (1) + 2 ns t w(txstop2) pulse width, transmit stop bit 2 2u (1) - 2 2u (1) + 2 ns autoflow timing requirements u7 t d(rx-rtsh) delay time, stop bit received to rts deasserted p (2) 5p (2) ns u8 t d(ctsl-tx) delay time, cts asserted to start bit transmit p (2) 5p (2) ns (1) u = uart baud time = 1 / programmed baud rate. (2) p = 1/(sysclk1/6). sysclk1 clock is sourced from the main pll. figure 5-86. uart transmit timing waveform advance information u6 u5 u5 u4 stop/idle rxd start bit 0 bit 1 bit n-1 bit n parity stop idle start u3 u2 u2 u1 stop/idle txd start bit 0 bit 1 bit n-1 bit n parity stop idle start
170 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated figure 5-87. uart rts (rxd stop to rts output) ? autoflow timing waveform figure 5-88. uart cts (cts to txd start output) ? autoflow timing waveform for more information, see section universal asynchronous receiver/transmitter (uart) in chapter peripherals of the device trm. 5.10.4.17 usb the usb 2.0 subsystem is fully-compliant with the universal serial bus (usb) specification, revision 2.0. refer to the specification for timing details. for more details about features and additional description information on the device universal serial bus subsystem (usb), see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . for more information, see section universal serial bus subsystem (usb) in chapter peripherals of the device trm. 5.10.5 emulation and debug subsystem 5.10.5.1 ieee 1149.1 standard-test-access port (jtag) for more details about features and additional description information on the device ieee 1149.1 standard-test-access port, see the corresponding sections within section 4.3 , signal descriptions and section 6 , detailed description . 5.10.5.1.1 jtag electrical data and timing table 5-101 , table 5-102 , and figure 5-89 assume testing over the recommended operating conditions and electrical characteristic conditions. table 5-101. timing requirements for ieee 1149.1 jtag no. min max unit j1 t c(tck) cycle time, tck 23 ns j1h t w(tckh) pulse duration, tck high (40% of t c ) 9.2 ns j1l t w(tckl) pulse duration, tck low(40% of t c ) 9.2 ns j3 t su(tdi-tck) input setup time, tdi valid to tck high 2 ns t su(tms-tck) input setup time, tms valid to tck high 2 ns j4 t h(tck-tdi) input hold time, tdi valid from tck high 10 ns t h(tck-tms) input hold time, tms valid from tck high 10 ns u7 rxd bit n-1 bit n stop start rts u8 txd bit n-1 bit n stop start bit 0 cts advance information
171 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 specifications copyright ? 2017 ? 2018, texas instruments incorporated table 5-102. switching characteristics over recommended operating conditions for ieee 1149.1 jtag no. parameter min max unit j2 t d(tckl-tdov) delay time, tck low to tdo valid 8.24 ns figure 5-89. jtag test-port timing tdi / tms j1h j1 j3 tck j4 tdo j1l j2 advance information
172 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6 detailed description 6.1 overview the soc is a low-cost, low-power device based on ti keystone ii (ks2) multicore soc architecture. it is optimized to achieve better power efficiency at similar performance compared to the preceding devices in the ks2 family. in addition to cost and power optimization, the device also integrates peripherals that facilitate industrial communications, control automotive and performance audio applications. it incorporates the performance-optimized cortex-a15 and a c66x dsp core, built to meet the processing and system-level integration needs of automotive amplifiers, enterprise media gateway, focused end equipment (fee), and broad-market applications (software-defined radio (sdr), proaudio, emerging equipment that requires a low-power a15 or c66-class soc). note for more information on features, subsystems, and architecture of superset system on chip (soc), see the device trm. advance information
173 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.2 functional block diagram figure 6-1. functional block diagram teranet audio peripherals general connectivity automotive interfaces 1x arm? cortex Ca15 ? 1x c66x dsp intro_001 66ak2g1x 7x timers 64-bits media & data storage qspi 2x mmc/sd 3x mcasp mcbsp medialb most150 ? 2x dcan pcie? 2x gpio 2x usb 2.0 dual role high-speedserial interfaces 3x uart 4x spi 3x i2c semaphore edma control interfaces 6x epwm 2x ecap 3x eqep single lane + phy gen 2 512kb l2 w/ ecc 1mb l2 w/ ecc copyright ? 2016, texas instruments incorporated memory subsystem gpmc msmc 1mb ram w/ ecc emif 36-bits ddr3l w/ ecc algorithm accelerators and application-specific subsystems network subsystem navss sa crypto engine emac eavb/1588v2 rgmii/rmii/mii queue manager pktdma display subsystem lcd 1x video pipeline blend/scale/csc dpi industrial subsystem 2x pru-icss message manager pmmc asrc advance information
174 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.3 arm a15 the arm subsystem (armss) of the soc integrates a single cortex-a15 processor with additional logic for bus protocol conversion, local power management, and various debug and trace enhancements. the cortex-a15 processor is an armv7a-compatible, multi-issue out-of-order superscalar execution engine with integrated l1 caches. the implementation also supports advanced simdv2 (neon ? technology) and vfpv4 (vector floating point) architecture extensions, security, virtualization, lpae (large physical address extension), and multiprocessing extensions. the arm subsystem includes a 512kb l2 cache and support for amba4 axi and axi coherence extension (ace) protocols. note the arm subsystem is also referred to as arm corepac . the arm subsystem supports the following key features: ? arm cortex-a15 processor, full implementation of armv7-a architecture instruction set ? 32kb l1 instruction (l1i) and data (l1d) caches ? 512kb l2 cache ? super scalar, variable-length, out-of-order pipeline (12 stage in-order, 3-12 stage out-of-order) ? 128-bit instruction fetch ? 3-wide instruction decode ? 3-wide instruction dispatch ? 8-wide instruction issue ? dynamic branch prediction with branch target buffer (btb) and global history buffer (ghb), a return stack, and an indirect predictor ? integrated neon and vfp (vector floating point unit) ? support for security and virtualization extensions ? error correction code (ecc) protection for l1 data cache and l2 cache, parity protection for l1 instruction cache ? 32-entry fully-associative l1 translation look-aside buffers (tlbs), for instruction fetch, data loads, and data stores ? 512-entry 4-way set-associative l2 tlb ? amba 4.0 axi coherency extension (ace) master port which is directly connected to msmc (multicore shared memory controller) for low-latency access to shared msmc sram ? dedicated arm clocking (arm_pll) for full flexibility in performance trade-offs ? support for four integrated generic timers, in addition to 1 dedicated soc-level watchdog timer (timer_5) ? support for invasive (stop-mode) and non-invasive (tracing, performance monitoring) debug modes and cross triggering for multiprocessor debugging ? support for processor instruction trace using program trace macrocell (ptm) and data trace (printf style debug) using system trace macrocell (stm) ? support for up to 480 interrupt requests via the arm interrupt controller (arm intc) module the arm subsystem does not support the following features: ? acp (accelarator coherancy port) slave ? native axi master interface (only msmc option is used) advance information
175 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the arm subsystem integrates the following major blocks: ? single-core arm cluster ? axi2vbus_master ? debug and trace components ? arm_vbusp registers ? arm intc ? global timebase counter (gtc) ? various interfaces for interaction with other soc subsystems and modules for more information, see section arm cortex-a15 subsystem in chapter processors and accelerators of the device trm. vimi87 6.4 c66x dsp subsystem the c66x dsp is the next-generation fixed-point and floating-point dsp. the new dsp enhances the c674x, which merged the c67x+ floating point and the c64x+ fixed-point instruction set architectures. the c66x dsp is object-code compatible with the c64x+ and c674x dsp. the dsp sybsystem (c66x corepac) supports the following key features: ? fixed/floating-point c66x cpu based on a superset of the c64x+ and c67x+ isa ? program memory controller (pmc): ? 32kb level 1 program (l1p) cache/sram ? data memory controller (dmc): ? 32kb l1 data (l1d) cache/sram ? unified memory controller (umc): ? 1024kb l2 cache/sram ? external memory controller (emc): ? internal dma (idma) engine ? one 128-bit vbusm slave port from teranet_dma ? one 32-bit vbusp master port to teranet_cfg ? xmc (extended memory controller): ? one 256-bit port to msmc controller ? multistream prefetch buffer ? address extension/translation (32-bit to 36-bit) ? memory protection for multiple segments ? memory protection for all internal l1/l2 ram ? error detection for l1p ? error detection and correction for l1d ? error detection and correction for all l2 ? integrated c66x corepac interrupt controller (intc) that works in conjunction with chip-level interrupt controller (cic) for distribution of system interrupts to the c66x core. interrupts can be routed directly to the c66x core or through the cic module in a flexible manner ? integrated leakage and dynamic power management ? debug/emulation capabilities: ? support for halt mode, real time and monitor mode debug capabilities ? support for processor instruction trace and system trace ( printf -style debug) ? dedicated timer module (timer_0) for the c66x corepac, integrated at soc level. timer_0 can be used as either general-purpose timer or watchdog timer advance information
176 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated fore more information about: ? c66x corepac, see the tms320c66x dsp corepac user's guide ( sprugw0 ). ? c66x cpu core, see the tms320c66x dsp cpu and instruction set reference guide ( sprugh7 ). ? c66x cache memory system, see the tms320c66x dsp cache user's guide ( sprugy8 ). ? c66x debug/trace support, see chapter on-chip debug of the device trm. 6.5 c66x cache subsystem the purpose of this section is to provide an overview of the c66x cache memory architecture and to specify its configuration in this device. details on the c66x cache functionality can be found in the tms320c66x dsp cache user guide ( sprugy8 ). the device contains a 1024kb level-2 memory (l2), a 32kb level-1 program memory (l1p), and a 32kb level-1 data memory (l1d). each memory has a unique location in the memory map (see chapter memory map of the device trm). after device reset, l1p and l1d cache are configured as all cache, by default. the l1p and l1d cache can be reconfigured via software through the l1pmode field of the l1p configuration register (l1pmode) and the l1dmode field of the l1d configuration register (l1dcfg) of the c66x corepac. l1d is a two-way set-associative cache, while l1p is a direct-mapped cache. for more information, see section c66x cache subsystem in chapter processors and accelerators of the device trm. 6.6 pru-icss the programmable real-time unit subsystem and industrial communication subsystem (pru-icss) consists of: ? two 32-bit load/store risc cpu cores ? programmable real-time units (pru0 and pru1) ? data rams per pru core ? instruction rams per pru core ? shared ram ? peripheral modules ? interrupt controller (icss_intc). the programmable nature of the pru cores, along with their access to pins, events and all device resources, provides flexibility in implementing fast real-time responses, specialized data handling operations, custom peripheral interfaces, and in offloading tasks from the other processor cores of the device. the device has integrated two identical pru subsystems (pru-icss_0 and pru-icss_1). the pru cores within each pru-icss have access to all resources on the soc through the interface master port, and the external host processors can access the pru-icss resources through the interface slave port. the 32-bit interconnect bus connects the various internal and external masters to the resources inside the pru-icss. the pru cores within the subsystems also have access to all resources on the soc through the teranet dma interconnect. a subsystem local interrupt controller ? icss_intc handles system input events and posts events back to the device-level host cpus. the pru cores are programmed with a small, deterministic instruction set. each pru can operate independently or in coordination with each other and can also work in coordination with the device-level host cpu. this interaction between processors is determined by the nature of the firmware loaded into the pru ? s instruction memory. advance information
177 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the pru subsystem includes the following main features: ? two pru cpus: ? 20 enhanced general-purpose inputs (egpi) and 20 enhanced general-purpose outputs (egpo) ? asynchronous capture [serial capture unit (scu)] with endat 2.2 protocol and sigma-delta demodulation support note: there is no sigma-delta modulator inside the pru. however, sigma-delta support is enabled through digital filtering hardware in the pru to perform sinc filtering. ? multiplier with accumulation (mac) ? crc16 and crc32 hw accelerator ? 16-kb program ram per pru cpu (signified iram0 for pru0 and iram1 for pru1) with ecc ? 8-kb data ram per pru cpu (signified ram0 for pru0 and ram1 for pru1) with ecc ? two high-performance master (initiator) ports on the teranet_dma interconnect ? one per pru ? 64-kb general purpose memory ram (signified ram2) with ecc, shared between pru0 and pru1 ? one scratch-pad (spad) memory: ? 3 banks of 30 32-bit registers ? broadside direct connect between pru cores within subsystem. optional address translation for pru transaction to external host ? 16 software events generated by two prus ? one ethernet mii_rt module (icss_mii_rt_cfg) with two mii ports and configurable connections to prus ? one mdio port (icss_mii_mdio) to control external ethernet phy ? one industrial ethernet peripheral (iep) to manage/generate industrial ethernet functions: ? one industrial ethernet 64-bit timer with 9 capture and 16 compare events with slow and fast compensation ? 16550-compatible uart with a dedicated 192-mhz clock to support 12-mbps profibus ? enhanced capture module (ecap_0) ? interrupt controller (icss_intc): ? up to 64 input events supported ? supports up to to 10 interrupt channels ? generation of 10 host interrupts: 2 host interrupts to pru0 and pru1, 1 host interrupt to pru- icss_0 and pru-icss_1, 7 host interrupts exported from the icss for signaling the arm interrupt controllers (pulse and level provided) ? each system event can be enabled and disabled ? each host event can be enabled and disabled ? hardware prioritization of events ? one 32-bit vbusp slave (target) port for memory mapped register and internal memories access ? two (master and slave) 32-bit vbusp ports for low-latency interface between pru-icss subsystems ? flexible power management support ? integrated 32-bit interconnect ? all memories support ecc for more information, see section programmable real-time unit subsystem and industrial communication subsystem (pru-icss) in chapter processors and accelerators of the device trm. advance information
178 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.7 memory subsystem 6.7.1 msmc the multicore shared memory controller (msmc) manages traffic among the device arm, dsp, dma, other master peripherals, and the ddr emif controller. it also provides a shared on-chip sram that is accessible by the arm, dsp and the master peripherals in the device. the msmc module has the following features: ? cpu/1 frequency of operation (that is, frequency same as that of the arm/dsp) ? one 256-bit master interface for connection to external sdram (through ddr emif controller) ? one 256-bit master interface for connection to teranet_dma ? one 256-bit slave interface for the dsp ? one 256-bit slave interface for the arm ? one 256-bit slave interface for accesses to the shared sram ? one 256-bit slave interface for accesses to the external sdram ? memory protection for accesses to both the shared sram and external sdram spaces ? address extension from 32-bit to 36-bit for larger addressing space ? error detection and correction (edc) and scrubbing support for the msmc sram ? level 2 or level 3 shared sram that is accessible by the device arm, dsp and the master peripherals ? coherency between arm l1/l2 cache and edma/system master peripherals (through ses/sms ports) in the sram space and sdram space for more information, see section multicore shared memory controller (msmc) in chapter memory subsystem of the device trm. 6.7.2 ddr emif this section describes the ddr external memory interface (emif) for the device. the ddr emif controller supports: ? ddr3l memory device compliant to jedec jesd79-3f and jesd79-3-1 (ddr3l addendum) standards ? 16-bit and 32-bit sdram data bus without ecc ? 32-bit sdram data bus with 4-bit ecc ? cas latencies of 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, and 16 ? cas write latencies of 5, 6, 7, 8, 9, 10, 11, and 12 ? 1, 2, 4, and 8 internal banks ? burst length of 8 ? sequential burst type ? 4gb address space available over one chip select ? 33-bit system address for address space of 4gb ? page sizes with 256, 512, 1024, and 2048 words ? self-refresh mode ? power-down mode ? output impedance calibration ? on-die termination (odt) ? prioritized refresh scheduling ? programmable sdram refresh rate and backlog counter ? programmable sdram timing parameters advance information
179 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? only little endian mode ? ecc on sdram data bus: ? 8-bit ecc per 64-bit data quanta without additional cycle latency ? 1-bit correction and 2-bit detection ? statistics for 1-bit ecc and 2-bit ecc errors ? programmable address ranges to define ecc protected region ? ecc calculated and stored on all writes to ecc protected address region ? ecc verified on all reads to ecc protected address region ? two ecc modes supported: ? read-modify-write (rmw) ecc enabled to support sub quanta accesses to the ecc space. ? rmw ecc disabled ? class of service ? udimm address mirroring. the ddr emif controller does not support: ? any memory types except ddr3l ? rdimms ? ecc for 16-bit mode ? single ended dqs ? mixed 8-bit and 16-bit sdram configurations ? 4-bit sdrams. for more information, see section ddr external memory interface (emif) in chapter memory subsystem of the device trm. 6.7.3 gpmc the general-purpose memory controller (gpmc) is a unified memory controller dedicated for interfacing with external memory devices like: ? asynchronous sram-like memories and application-specific integrated circuit (asic) devices ? asynchronous, synchronous, and page mode (available only in nonmultiplexed mode) burst nor flash devices ? pseudo-sram devices the main features of the gpmc are: ? 8- or 16-bit-wide data path to external memory device ? supports up to 4 chip select regions of programmable size and programmable base addresses in a total address space of 1 gb ? fully pipelined operation for optimal memory bandwidth usage ? the clock to the external memory is provided from gpmc_fclk divided by 1, 2, 3, or 4 ? supports programmable autoclock gating when no access is detected ? independent and programmable control signal timing parameters for setup and hold time on a per-chip basis. parameters are set according to the memory device timing parameters with a timing granularity of one gpmc_fclk clock cycle. ? flexible internal access time control (wait state) and flexible handshake mode using external wait pin monitoring ? support bus keeping ? support bus turnaround ? 32-bit teranet slave interface which supports non-wrapping and wrapping burst of up to 16x32 bits. the gpmc supports the following various access types: advance information
180 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? asynchronous read/write access ? asynchronous read page access (4-, 8-, and 16- word16) ? synchronous read/write access ? synchronous read/write burst access without wrap capability (4-, 8-, and 16- word16) ? synchronous read/write burst access with wrap capability (4-, 8-, and 16- word16) ? address-data-multiplexed (ad) access ? address-address-data (aad) multiplexed access ? little-endian access only the gpmc can communicate with a wide range of external devices: ? external asynchronous or synchronous 8-bit wide memory or device (non burst device) ? external asynchronous or synchronous 16-bit wide memory or device ? external 16-bit nonmultiplexed nor flash device ? external 16-bit address and data multiplexed nor flash device ? external 16-bit pseudo-sram (psram) device for more information, see section general-purpose memory controller (gpmc) in chapter memory subsystem of the device trm. 6.8 interprocessor communication 6.8.1 msgmgr the soc implements a single instance of the message manager to provide inter-processor communication between the various processing units: ? arm (cortex-a15) ? dsp (c66x) ? pmmc (cpu) ? pru-icss (prus) the message manager is a hardware engine used for queuing messages in a secure and self-contained manner. there is no limitation on the message format or content. it is software responsibility to define the message format. the message manager provides a multi-core and multi-process safe message interface which allows multiple users (message senders and receivers) to access the queues without the need for any mutual exclusion. it also allows for secure and authorized access to the queues. the general features of the message manager module include: ? provides hardware acceleration for pushing/popping messages to/from logical queues ? supports the following soc configuration: ? 64 queues ? up to 128 pending messages ? 64-byte messages ? 32 proxies (single proxy per page) ? support for highly-pipelined push/pop operations ? support for self-contained mode with zero sw initialization ? provides a secure front-end for the queues ? provides flexible message allocation with ability to store the same message multiple times in different queues or multiple times in the same queue ? queue depth limited only by the maximum number of messages ? support for little-endian (le) operation only advance information
181 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated monitoring and trace functions include: ? provides hardware signals to monitor the empty status for all transmit source queues ? provides ability to read linking ram contents for debug purposes ? provides ability to generate an interrupt when there are no free entries in the linking ram ? provides ability to generate an interrupt due to a proxy fault for more information, see section message manager in chapter interprocessor communication of the device trm. 6.8.2 sem this chapter describes the operation of the semaphore hardware module. the semaphore module is accessible across all the cores on a multicore environment. the module supports up to 64 independent semaphores that help the application to implement shared-resource protection mechanism across multiple cores. each of the semaphores can be accessed by the cores in direct, indirect, or combined modes. in a multicore environment where system resources must be shared it is important to control simultaneous accesses to the available resources. to ensure correct system operation, it is necessary to limit access to a resource by one and only one core at a time; that is, it is necessary to provide mutual exclusion for resources shared across multiple cores. the semaphore module provides a mechanism that applications can use to implement mutual exclusion of shared resources across multiple cores. the following cpu cores can be semaphore masters on this device: ? dsp c66x ? arm cortex-a15 ? pmmc cpu ? icss0_pru0 ? icss0_pru1 ? icss1_pru0 ? icss1_pru1 the semaphore module supports the following features: ? provides mutual exclusion for a shared resource ? a maximum of 16 semaphore masters (device cores) ? a maximum of 64 independent semaphores ? semaphore request methods: ? direct request ? indirect request ? combined request ? endian independent ? atomic semaphore access ? lock-out mechanism for used semaphores ? queued requests for used semaphores ? semaphores access grant interrupt for queued requests ? allows the application to check the status of any of the semaphores ? error detection and interrupts for more information, see section semaphore module in chapter interprocessor communication of the device trm. advance information
182 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.9 edma the primary purpose of the enhanced direct memory access (edma) controller is to service user- programmed data transfers between two memory-mapped slave endpoints on the device. typical usage of the edma controller includes: ? servicing software-driven paging transfers (for example, data movement between external memory [such as sdram] and internal memory [such as dsp l2 sram]) ? servicing event-driven peripherals, such as a serial port ? performing sorting or sub-frame extraction of various data structures ? offloading data transfers from the main device cpus, such as the c66x dsp corepac or the arm corepac the edma controller consists of two major principle blocks: ? edma channel controller ? edma transfer controller(s) the edma channel controller (edmacc) serves as the user interface for the edma controller. the edmacc includes parameter ram (param), channel control registers, and interrupt control registers. the edmacc serves to prioritize incoming software requests or events from peripherals and submits transfer requests (tr) to the edma transfer controller. the edma transfer controller (edmatc) is responsible for data movement. the transfer request packets (trp) submitted by the edmacc contain the transfer context, based on which the transfer controller issues read/write commands to the source and destination addresses programmed for a given transfer. there are two edma controllers present on this device: ? edma_0, integrating: ? 1 channel controller, referenced as: edmacc_0 ? 2 transfer controllers, referenced as: edmacc_0_tc_0 (or edmatc_0) and edmacc_0_tc_1 (or edmatc_1) ? edma_1, integrating: ? 1 channel controller, referenced as: edmacc_1 ? 2 transfer controllers, referenced as: edmacc_1_tc_0 (or edmatc_2) and edmacc_1_tc_1 (or edmatc_3) the two edma channel controllers (edmacc_0 and edmacc_1) are functionally identical. for simplification, the unified name edmacc shall be regularly used throughout this chapter when referring to edma channel controllers functionality and features. the four edma transfer controllers (edmacc_0_tc_0, edmacc_0_tc_1, edmacc_1_tc_0 and edmacc_1_tc_1) are functionally identical. for simplification, the unified name edmatc shall be regularly used throughout this chapter when referring to edma transfer controllers functionality and features. each edmacc has the following features: ? fully orthogonal transfer description: ? 3 transfer dimensions: ? array (multiple bytes) ? frame (multiple arrays) ? block (multiple frames) ? single event can trigger transfer of array, frame, or entire block ? independent indexes on source and destination advance information
183 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? flexible transfer definition: ? increment or constant addressing modes ? linking mechanism allows automatic param set update ? chaining allows multiple transfers to execute with one event ? 64 dma channels: ? channels triggered by either: ? event synchronization ? manual synchronization (cpu write to event set register) ? chain synchronization (completion of one transfer triggers another transfer) ? support for programmable dma channel to param mapping ? 8 quick dma (qdma) channels: ? qdma channels are triggered automatically upon writing to param set entry ? support for programmable qdma channel to param mapping ? 512 param sets: ? each param set can be used for a dma channel, qdma channel, or link set ? 2 transfer controllers/event queues: ? 16 event entries per event queue ? interrupt generation based on: ? transfer completion ? error conditions ? debug visibility: ? queue water marking/threshold ? error and status recording to facilitate debug ? memory protection support: ? proxied memory protection for tr submission ? active memory protection for accesses to param and registers each edmatc has the following features: ? supports 2-dimensional (2d) transfers with independent indexes on source and destination (edmacc manages the 3rd dimension) ? up to 4 in-flight transfer requests (tr) ? programmable priority levels ? support for increment or constant addressing mode transfers ? interrupt and error support ? supports only little-endian operation in this device ? memory mapped register (mmr) bit fields are fixed position in 32-bit mmr for more information chapter edma controller of the device trm. vimi87 6.10 peripherals 6.10.1 dcan controller area network (can) is a serial communications protocol which efficiently supports distributed real-time applications. can has high immunity to electrical interference and the ability to self-diagnose and repair data errors. in a can network, many short messages are broadcast to the entire network, which provides for data consistency in every node of the system. the device supports two dcan modules, referred to as dcan_0 and dcan_1, connecting to the can network through external (for the device) transceivers. the dcan modules support bit rates up to 1 mbit/s and are compliant to the can 2.0b protocol specification. advance information
184 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the dcan module implements the following features: ? support for can protocol version 2.0 part a, b ? bit rates up to 1 mbit/s ? dual clock source ? 64 message objects in a dedicated message ram ? individual identifier mask for each message object ? programmable fifo mode for message objects ? programmable loop-back modes for self-test operation ? software module reset ? suspend mode for debug support ? automatic bus on after bus-off state by a programmable 32-bit timer ? message ram single error correction and double error detection mechanism (secded) ? direct access to message ram during test mode. ? support for three interrupt lines: level 0 and level 1, and a separate ecc interrupt line ? local power down and wakeup support ? automatic message ram initialization ? support for dma access for more information, see section dual controller area network (dcan) interface in chapter peripherals of the device trm. 6.10.2 dss the display subsystem (dss) provides the logic to interface display peripherals. dss includes a dma engine as part of the integrated display controller (dispc) module, which allows direct access to the frame buffer (system memory). various pixel processing capabilities are supported, such as: color space conversion, filtering, scaling, etc. the supported display interfaces (connections to external panel) are: ? one parallel interface, which can be used for mipi ? dpi 2.0, or bt-656 or bt-1120. ? one rfbi interface, supporting mipi dbi 2.0. the modules integrated in dss are: ? display controller (dispc), with the following main features ? one direct memory access (dma) engine ? one video pipeline (vid1) with color space conversion and in-loop up/down-scaling ? one overlay manager (ovr1) ? active matrix color support for 12/16/18/24-bit (truncated or dithered encoded pixel values) ? one video port (vp1) with programmable timing generator to support up to 148.5 mhz pixel clock video formats defined in cea-861-e and vesa dmt standards ? supported maximum framebuffer width of 4096 for all pixel formats ? configurable output mode: progressive or interlaced ? selection between rgb and yuv422 output pixel formats (yuv4:2:2 only available when bt-656 or bt-1120 output mode is enabled on the dpi interface) ? stall mode support for rfbi advance information
185 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? remote frame buffer interface (rfbi) module, with the following main features: ? access to rfb direct "arm interface": ? sending commands and data to the rfb panel, received from dispc or from arm (through the 32-bit interconnect slave port) ? reading data/status from the rfb through the 32-bit interconnect slave port ? rfb interface: ? 8/9/12/16-bit data bus (for up to qvga @30fps) ? two programmable configurations for two peripheral devices connected to the rfbi module ? tearing effect control logic: horizontal synchronization (hsync) and vertical synchronization (vsync) embedded in a single signal (te) or using two signals (hs+vs) ? programmable pixel memory and output formats dss provides two interfaces to soc interconnect: ? one 128-bit master port (with mflag support). the dma engine in dispc uses this single master port to read data from soc system memory. ? one 32-bit slave port. used for configuration of the memory mapped registers inside dss. it is further connected internally to dispc and rfbi modules. for more information, see section display subsystem (dss) in chapter peripherals of the device trm. 6.10.3 ecap the enhanced capture (ecap) module can be used for: ? sample rate measurements of audio inputs ? speed measurements of rotating machinery (for example, toothed sprockets sensed via hall sensors) ? elapsed time measurements between position sensor pulses ? period and duty cycle measurements of pulse train signals ? decoding current or voltage amplitude derived from duty cycle encoded current/voltage sensors. the ecap module includes the following features: ? 32-bit time base counter ? 4-event time-stamp registers (each 32 bits) ? edge polarity selection for up to four sequenced time-stamp capture events ? interrupt on either of the four events ? single shot capture of up to four event time-stamps ? continuous mode capture of time-stamps in a four-deep circular buffer ? absolute time-stamp capture ? difference (delta) mode time-stamp capture ? all above resources dedicated to a single input pin ? when not used in capture mode, the ecap module can be configured as a single channel pwm output. for more information, see section enhanced capture (ecap) module in chapter peripherals of the device trm. advance information
186 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.10.4 epwm an effective pwm peripheral must be able to generate complex pulse width waveforms with minimal cpu overhead or intervention. it needs to be highly programmable and very flexible while being easy to understand and use. the epwm unit described here addresses these requirements by allocating all needed timing and control resources on a per pwm channel basis. cross coupling or sharing of resources has been avoided; instead, the epwm is built up from smaller single channel modules with separate resources and that can operate together as required to form a system. this modular approach results in an orthogonal architecture and provides a more transparent view of the peripheral structure, helping users to understand its operation quickly. in the further description the letter x within a signal or module name is used to indicate a generic epwm instance on a device. for example, output signals epwmxa and epwmxb refer to the output signals from the epwm_x instance. thus, epwm1a and epwm1b belong to epwm_1, epwm2a and epwm2b belong to epwm_2, and so forth. the epwm module represents one complete pwm channel composed of two pwm outputs: epwmxa and epwmxb. a given epwm module functionality can be extended with the so called high-resolution pulse width modulator. each epwm module supports the following features: ? dedicated 16-bit time-base counter with period and frequency control ? two pwm outputs (epwmxa and epwmxb) that can be used in the following configurations: ? two independent pwm outputs with single-edge operation ? two independent pwm outputs with dual-edge symmetric operation ? one independent pwm output with dual-edge asymmetric operation ? asynchronous override control of pwm signals through software ? programmable phase-control support for lag or lead operation relative to other epwm modules ? hardware-locked (synchronized) phase relationship on a cycle-by-cycle basis ? dead-band generation with independent rising and falling edge delay control ? programmable trip zone allocation of both cycle-by-cycle trip and one-shot trip on fault conditions ? a trip condition can force either high, low, or high-impedance state logic levels at pwm outputs ? allows events to trigger both cpu interrupts and adc start of conversions ? programmable event prescaling minimizes cpu overhead on interrupts ? pwm chopping by high-frequency carrier signal, useful for pulse transformer gate drives. for more information, see section enhanced pwm (epwm) module in chapter peripherals of the device trm. 6.10.5 eqep a single track of slots patterns the periphery of an incremental encoder disk. these slots create an alternating pattern of dark and light lines. the disk count is defined as the number of dark/light line pairs that occur per revolution (lines per revolution). as a rule, a second track is added to generate a signal that occurs once per revolution (index signal: qepi), which can be used to indicate an absolute position. encoder manufacturers identify the index pulse using different terms such as index, marker, home position and zero reference. to derive direction information, the lines on the disk are read out by two different photo-elements that "look" at the disk pattern with a mechanical shift of 1/4 the pitch of a line pair between them. this shift is realized with a reticle or mask that restricts the view of the photo-element to the desired part of the disk lines. as the disk rotates, the two photo-elements generate signals that are shifted 90 degrees out of phase from each other. these are commonly called the quadrature qepa and qepb signals. the clockwise direction for most encoders is defined as the qepa channel going positive before the qepb channel and vise versa. advance information
187 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the encoder wheel typically makes one revolution for every revolution of the motor or the wheel may be at a geared rotation ratio with respect to the motor. therefore, the frequency of the digital signal coming from the qepa and qepb outputs varies proportionally with the velocity of the motor. for example, a 2000-line encoder directly coupled to a motor running at 5000 revolutions per minute (rpm) results in a frequency of 166.6 khz, so by measuring the frequency of either the qepa or qepb output, the processor can determine the velocity of the motor. for more information, see section enhanced quadrature encoder pulse (eqep) module in chapter peripherals of the device trm. advance information
188 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated 6.10.6 gpio the general-purpose input/output (gpio) peripheral provides dedicated general-purpose pins that can be configured as either inputs or outputs. when configured as an output, user can write to an internal register to control the state driven on the output pin. when configured as an input, user can obtain the state of the input by reading the state of an internal register. in addition, the gpio peripheral can produce cpu interrupts and edma synchronization events in different interrupt/event generation modes. the device has two instances of gpio144 modules (gpio_0 and gpio_1). the gpio pins are grouped into banks (16 pins per bank), which means that each gpio module provides up to 144 dedicated general-purpose pins with input and output capabilities; thus, the general-purpose interface supports up to 288 (2 instances (9 banks x 16 pins)) pins. since gpio1_[143:68] are reserved in this device, general- purpose interface supports up to 212 pins. each channel in the gpio modules has the following features: ? supports 9 banks of 16 gpio signals ? supports up to 9 banks of interrupt capable gpios ? interrupts: ? can enable interrupts for each bank of 16 gpio signals ? interrupts can be triggered by rising and/or falling edge (or neither edge = disabled), specified for each interrupt capable gpio signal ? set/clear functionality: ? software writes 1 to corresponding bit position(s) to set or to clear gpio signal(s). this allows multiple software processes to toggle gpio output signals without critical section protection (disable interrupts, program gpio, re-enable interrupts, to prevent context switching to anther process during gpio programming). ? separate input/output registers: ? output register in addition to set/clear so that if preferred by software, some gpio output signals can be toggled by direct write to the output register(s). ? output register, when read in, reflects output drive status. this, in addition to the input register reflecting pin status and open-drain i/o cell, allows wired logic be implemented. for more information, see section general-purpose interface (gpio) in chapter peripherals of the device trm. 6.10.7 i2c the multi-master inter-integrated circuit (i2c) peripheral provides an interface between the device and any i 2 c-bus-compatible device that is connected via the i 2 c serial bus. external components attached to the i 2 c bus can serially transmit/receive up to 8-bit data to/from the device through the two-wire i 2 c interface. each i2c module has the following features: ? compliance with the philips semiconductors i 2 c-bus specification (version 2.1): ? supports standard mode (up to 100 kbps) and fast mode (up to 400 kbps) ? support for byte format transfer ? 7-bit addressing mode ? general call ? start byte mode ? support for multiple master-transmitters and slave-receivers mode ? support for multiple slave-transmitters and master-receivers mode ? combined master transmit/receive and receive/transmit mode ? 2 to 7 bit format transfer ? free data format mode advance information
189 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? one read dma event and one write dma event that can be used by the dma ? seven interrupts that can be used by the cpu ? module enable/disable capability i2c module unsupported features: ? gpio mode ? high-speed (hs) mode ? 10-bit device addressing mode the i2c module is compliant with the philips semiconductors inter-ic bus (i 2 c-bus) specification version 2.1. for more information, see section inter-ic module (i2c) in chapter peripherals of the device trm. 6.10.8 asrc the reception of many different audio sources and the transmission of these to different audio zones, may require different audio clocks. the asynchronous audio sample rate converter (asrc) module takes samples from one clock zone and moves them to another, while maintaining a high signal to noise ratio to ensure that the output quality is sufficient to meet the requirements for various high-end algorithms. the asrc contains frequency generator / rate estimation logic, in order to generate / capture the information for all the different audio zones. the asrc module supports the following main features: ? high performance asynchronous sample rate converter with 140db signal-to-noise (snr) ? up to 8 stereo streams (16 audio channels) ? automatically sensing / detection of input sample frequencies ? attenuation of sampling clock jitter ? 16-/18-/20-/24-bit data input/output ? audio sample rates from 8 khz to 216 khz ? input/output sampling ratios from 16:1 to 1:16 ? group mode, where multiple asrc blocks use the same timing loop for input or output ? linear phase fir filter ? controllable soft mute ? independent clock generator, and rate and stamp generator, for each input and output clock zone ? separate dma events for input and output, for each channel and group for more information, see section audio sample rate converter (asrc) in chapter peripherals of the device trm. 6.10.9 mcasp the multi-channel audio serial port (mcasp) module functions as a general-purpose audio serial port optimized for the needs of multichannel audio applications. the mcasp supports transmission and reception of time-division multiplexed (tdm) and inter-ic sound (i 2 s) protocols. in addition, it supports intercomponent digital audio interface transmission (dit). the mcasp consists of transmit and receive sections that may operate synchronized, or completely independently with separate master clocks, bit clocks, and frame syncs, and using different transmit modes with different bit-stream formats. the mcasp module also includes up to 16 serializers that can be individually enabled to either transmit or receive. the device integrates three mcasp modules (mcasp0, mcasp1, and mcasp2) with: ? mcasp0 supporting 16 serializers with independent tx/rx clock zones ? mcasp1 supporting 10 serializers with independent tx/rx clock zones advance information
190 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? mcasp2 supporting 6 serializers with independent tx/rx clock zones each mcasp module includes the following main features: ? up to 16 individually assignable serializers, each with its own data pins (axr) ? a single 32-bit buffer per serializer for transmit and receive operations ? 2x interconnect slave interface ports: ? a configuration (cfg) port ? a slave dma data port synchronized with functional clock ? two independent clock generator modules for transmit and receive: ? clocking flexibility allows the mcasp to receive and transmit at different rates. for example, the mcasp can receive data at 48 khz but output up-sampled data at 96 khz or 192 khz. ? configurable functional clocks: ? may be generated internally (master mode) ? may be supplied by an external device (slave mode) ? may be divided down internally ? independent transmit and receive modules, each providing: ? programmable clock and frame sync generator ? tdm streams from 2 to 32, and 384 time slots ? support for time slot sizes of 8, 12, 16, 20, 24, 28, and 32 bits ? data formatter for bit manipulation ? glueless connection to audio analog-to-digital converters (adc), digital-to-analog converters (dac), codec, digital audio interface receiver (dir), and s/pdif transmit physical layer components. ? support for wide variety of i 2 s and similar bit-stream formats ? integrated digital audio interface transmitter (dit): ? s/pdif, iec60958-1, aes-3 formats. ? enhanced channel status/user data ram ? 384-slot tdm with external digital audio interface receiver (dir) device: ? for dir reception, an external dir receiver integrated circuit should be used with i 2 s output format and connected to the mcasp receive section ? support for 2x dma requests (1 per direction) per each mcasp module: ? 1 level-sensitive transmit direct memory access (dma) request common for all of the mcasp serializers ? 1 level-sensitive receive direct memory access (dma) request common for all of the mcasp serializers ? one transmit interrupt request common for all serializers per mcasp module ? one receive interrupt request common for all serializers per mcasp module ? extensive error checking and recovery: ? transmit underruns and receiver overruns due to the system not meeting real-time requirements ? early or late frame sync in tdm mode ? dma error due to incorrect programming advance information
191 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? mcasp audio fifo (afifo): ? provides additional data buffering ? provides added tolerance to variations in host/dma controller response times ? may be used as a dma event pacer ? independent read fifo and write fifo ? 256 bytes of ram for each fifo (read and write), where: ? 256 bytes = four 32-bit words per serializer in the case of 16 data pins ? 256 bytes = 64 32-bit words in the case of one data pin ? option to bypass write fifo and/or read fifo independently for more information, see section multi-channel audio serial port (mcasp) in chapter peripherals of the device trm. 6.10.10 mcbsp the multi-channel buffered serial port (mcbsp) provides a full-duplex serial communication interface between the device and other devices in a system. the primary use for the mcbsp is for audio interface purposes. the main audio modes that are supported are the ac97 and i 2 s modes. in addition to the primary audio modes, the mcbsp can be programmed to support other serial formats but is not intended to be used as a high-speed interface. the device communicates to the mcbsp using 32-bit-wide control registers accessible via the internal peripheral bus. the mcbsp provides the following functions: ? full-duplex communication ? double-buffered data registers, which allow a continuous data stream ? independent framing and clocking for receive and transmit ? direct interface to industry-standard codecs, analog interface chips (aics), and other serially connected analog-to-digital (a/d) and digital-to-analog (d/a) devices ? external shift clock or an internal, programmable frequency shift clock for data transfer in addition, the mcbsp has the following capabilities: ? direct interface to: ? t1/e1 framers ? mvip switching compatible and st-bus compliant devices including: ? mvip framers ? h.100 framers ? scsa framers ? iom-2 compliant devices ? ac97 compliant devices (the necessary multiphase frame synchronization capability is provided) ? i 2 s compliant devices ? multi-channel transmit and receive of up to 128 channels ? a wide selection of data sizes, including 8, 12, 16, 20, 24, and 32 bits ? -law and a-law companding ? 8-bit data transfers with the option of lsb or msb first ? programmable polarity for both frame synchronization and data clocks ? highly programmable internal clock and frame generation advance information
192 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? additional mcbsp buffer fifo (bfifo): ? provides additional data buffering ? provides added tolerance to variations in host/dma controller response times ? may be used as a dma event pacer ? independent read fifo and write fifo ? 256 bytes of ram for each fifo (read and write) ? option to bypass write fifo and/or read fifo, independently mcbsp module unsupported features: ? the mcbsp on this device does not support the spi protocol. ? 512 channel mode ? individual enable/disable channel control ? timeslot buffering ? super frame synchronization ? abis mode for more information, see section multi-channel buffered serial port (mcbsp) in chapter peripherals of the device trm. 6.10.11 mlb the media local bus subsystem (mlb) is based on a module designed by smsc. this module provides a medialb/medialb+ controller and an interface to other medialb/medialb+ devices. the medialb/medialb+ interface allows also connection to a most (media oriented systems transport) network controller. the mlb supports the following features: ? 3-pin medialb 3.3v lvcmos i/os compliant to medialb physical layer specification v4.2 ? 6-pin medialb+ low-voltage differential signaling (lvds) i/os (3 differential pairs) compliant to medialb physical layer specification v4.2 ? medialb core functionality compliant to medialb physical layer and link layer specification v4.2 ? supports 256/512/1024fs in 3-pin mode and 2048fs in 6-pin mode ? supports all types of transfer (synchronous stream data, asynchronous packet data, control message data, and isochronous data) over 64 logical channels ? supports single 32-bit teranet_cfg slave interface for configuration ? supports single 32-bit teranet_dma master interface with burst capability for dma transfers into system memory. the maximum burst size is 32 bytes ? has 16 kb buffer for all types of transfers in the subsystem ? dedicated boot_cfg bits for controlling the mlb priority on the system interconnect the mlb does not support: ? 5-pin mode ? digital transmission content protection (dtcp) cipher accelerators for more information, see section media local bus (mlb) in chapter peripherals of the device trm. 6.10.12 mmc/sd the multimedia card (mmc), secure digital (sd), and secure digital i/o (sdio) high-speed controller (mmc/sd) provides an interface between a local host (lh) such as microprocessor unit (mpu) or digital signal processor (dsp) and either mmc, sd memory card, or sdio card and handles mmc, sd, and sdio transactions with minimal lh intervention. there are two mmc/sd host controllers inside the device. each controller has an 8-bit wide data bus. advance information
193 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the mmc/sd host controllers support the following main features: ? full compliance with mmc/emmc command/response sets as defined in the jc64 mmc/emmc standard specification, v4.5. ? full compliance with sd command/response sets as defined in the sd physical layer specification v3.01. ? full compliance with sdio command/response sets and interrupt/read-wait suspend-resume operations as defined in the sd part e1 specification v3.00. ? full compliance with sd host controller standard specification sets as defined in the sd card specification part a2 v3.00. main features of the mmc/sd host controllers: ? flexible architecture allowing support for new command structure ? designed for low power (local power management) ? programmable clock generation ? card insertion/removal detection and write protect detection ? the slave interface supports: ? 32-bit wide data bus ? streaming burst supported only with burst length up to 7 ? wnp supported ? the master interface supports: ? 32-bit wide data bus ? burst supported ? built-in 1024-byte buffer for read or write ? two dma channels, one interrupt line ? support jc 64 v4.4.1 boot mode operations ? support sda 3.00 part a2 programming model ? support sda 3.00 part a2 dma feature (adma2) ? supported data transfer rates: ? mmc0 supports the following data transfer rates (emmc/sd): ? sdr12 (3.3 v ios): up to 12 mbps (24 mhz clock) ? sdr25 (3.3 v ios): up to 24 mbps (48 mhz clock) ? hs mode (3.3 v ios): up to 24 mbps (48 mhz clock) ? ds mode (3.3 v ios): up to 12 mbps (24 mhz clock) ? default sd mode 1-bit data transfer up to 24 mbps (3 mbps) ? mmc1 supports the following data transfer rates (emmc): ? sdr12 (1.8 v ios): up to 12 mbps (24 mhz clock) ? sdr25 (1.8 v ios): up to 24 mbps (48 mhz clock) ? ddr50 (1.8 v ios): up to 48 mbps (48 mhz clock) ? 1.8 v legacy modes with 1/4/8-bit single data rate at up to 26 mhz bus clock ? mmc0 supports 3.3-v io modes only ? mmc1 supports 1.8-v io modes only the differences between the mmc/sd host controller and a standard sd host controller defined by the sd card specification, part a2, sd host controller standard specification, v3.00 are: ? the clock divider in the mmc/sd host controller supports a wider range of frequency than specified in the sd memory card specifications, v3.0. the mmc/sd host controller supports odd and even clock ratio. ? the mmc/sd host controller supports configurable busy time-out. ? adma2 64-bit mode is not supported. advance information
194 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? there is no external led control. the following features are not supported: ? byte or half-word accesses. only word accesses to the slave port are supported. ? mmc out-of-band interrupt. ? dual voltage i/o (mmc0 supports 3.3-v only. mmc1 supports 1.8-v only). ? no built-in hardware support for error correction codes (ecc). ? spi transfers are not supported. ? module doesn ? t support card insertion/removal sensing with pull up resistor on mmci_dat[3] data bus line as specified in the sd physical layer specification. for more information, see section multimedia card high speed interface (mmc/sd) in chapter peripherals of the device trm. 6.10.13 nss networking subsystem (nss) consists of dma/queue management components ? navigator subsystem (navss), an ethernet mac (emac) subsystem, and a packet security accelerator (sa). the nss, presented by its general sub-components, supports the following features: ? navss: ? high performance cppi dma controller, 32 receive flows, 4 loopback threads for infrastructure mode ? cppi queue manager (qm) features: ? single qm ? supports up to 128 queues ? 21 qpend signals for tx use, remaining 107 qpend signals are for host use ? 2048 buffers supported in internal linking ram ? two queue proxies provided for host interaction (one per dsp and arm): ? queue proxy 0 assigned to dsp ? queue proxy 1 assigned to arm ? support for ser protection (secded) advance information
195 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? emac subsystem: ? one gigabit ethernet port: mii/rmii/rgmii interfaces: ? supports 10-, 100-, 1000-mbps full duplex ? supports 10-, 100-mbps half duplex ? one host port 0 cppi streaming packet interface (psi) ? support ethernet audio/video bridging (eavb) (p802.1qav/d6.0) ? maximum frame size 2016 bytes (2020 bytes with vlan) ? eight priority level qos support (802.1p) ? ieee 1588v2 (2008 annex d, annex e, and annex f) to facilitate audio/video bridge 802.1as precision time protocol: ? timestamp module capable of time stamping external timesync events like pulse per second and also generating pulse per second outputs ? cpts module that supports time stamping for ieee 1588v2 with support for 8 hardware push events and generation of compare output pulses ? dscp priority mapping (ipv4 and ipv6) ? maximum frame size 2016 bytes (2020 with vlan) ? address lookup engine (ale) ? castagnoli or ethernet crc selectable for ethernet ingress and egress (host port0 crc is ethernet only) ? mdio module for phy management ? etherstats and 802.3stats rmon statistics gathering ? support for ser protection (secded) ? security accelerator (sa): ? support ipsec and srtp protocol stack ? support various encryption modes and algorithms such as: ? ecb, cbc, cfb, ofb, f8, ctr, cbc-mac, ccm, gcm, gmac and aes-cmac ? aes, des, 3des, sha-1, sha-2 (224, 256-bit operation) and md5 ? support for true random number generator (trng) and public key accelerator (pka) ? support for ser protection (secded) the nss does not support the following features: ? no external queue ram supported ? priority based flow control is not supported. ? no castignoli crc to host cppi port. for more information, see section networking subsystem (nss) in chapter peripherals of the device trm. 6.10.14 pciess peripheral component interconnect express (pcie) controllers provide a high-speed glueless serial interconnect to peripherals utilizing high bandwidth applications. pcie module is a multi-lane i/o interconnect that provides low pin-count, high reliability, and high-speed data transfer at rates of up to 5.0 gbps per lane, per direction, for serial links on backplanes and printed curcuit boards. it is a 2nd generation i/o interconnect technology succeeding pci and isa bus designed to be used as a general-purpose serial i/o interconnect. it is also used as a bridge to other interconnects such as sata, usb2/3.0, gbe mac, and so forth. advance information
196 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the pci express standard's predecessor - pci, is a parallel bus architecture that is increasingly difficult to scale-up in bandwidth, which is usually performed by increasing the number of data signal lines. the pcie architecture was developed to help minimize i/o bus bottlenecks within systems and to provide the necessary bandwidth for high-speed, chip-to-chip, and board-to-board communications within a system. it is designed to replace the pci-based shared, parallel bus signaling technology that is approaching its practical performance limits while simplifying the interface design. pcie module supports the following features: ? dual operation mode: root complex (rc) or end point (ep) ? supports a single bidirectional link interface (a single input port and a single output port) with one lane ? operated at a raw speed of 2.5 gbps or 5.0 gbps per lane per direction ? maximum outbound payload size of 128 bytes ? maximum inbound payload size of 256 bytes ? maximum remote read request size of 256 bytes ? ultra-low transmit and receive latency ? support for dynamic-width conversion ? automatic lane reversal ? polarity inversion on receive ? single virtual channel (vc) ? single traffic class (tc) ? single function in end point (ep) mode ? automatic credit management ? ecrc generation and checking ? pci device power management with the exception of d3cold with vaux ? pci express active state power management (aspm) state l0s and l1 ? pci express link power management states, except l2 state ? pci express advanced error reporting ? pci express messages for both transmit and receive ? filtering for posted, non-posted, and completion traffic ? configurable bar filtering, i/o filtering, configuration filtering, and completion lookup/timeout ? access to configuration space registers and external application memory-mapped registers through bar0 and through configuration access ? legacy interrupts reception (in rc) and generation (in ep) ? msi generation and reception ? phy loopback in rc mode pcie module does not support the following features: ? no support for multiple lanes ? no support for multiple vcs ? no support for multiple tcs ? no support for function-level reset ? no support for pci express beacon for in-band wake ? no built-in hardware support for hot-plug ? no support for vendor messaging ? no support for i/o access in inbound direction in rc or ep mode ? no support for addressing modes other than incremental for burst transactions. thus, the pcie addresses cannot be in cacheable memory space ? no auxiliary power to maintain controller context when rezuming from d3cold state advance information
197 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? no support for l2 link state for more information, see section peripheral component interconnect express subsystem (pcie ss) in chapter peripherals of the device trm. 6.10.15 qspi the quad serial peripheral interface (qspi ? ) module is a kind of serial peripheral interface (spi) module which allows single, dual or quad read and write access to external flash devices. this module has a memory mapped register interface, which provides a direct memory interface for accessing data from external flash devices, simplifying software requirements. the qspi module has the following features: ? memory-mapped direct mode of operation for performing flash data transfers and executing code from flash memory. ? software triggered 'indirect' mode of operation for performing low latency and non-processor intensive flash data transfers. ? local sram to reduce bus overhead and buffer flash data during indirect transfers. ? set of software accessible flash control registers to perform any flash command, including data transfers up to 8-bytes at a time. ? supports any device clock frequency, including frequencies of 96 mhz (qspi mode 0 only). ? supports xip (execute in place), also referred to as continuous mode. ? supports single, dual or quad i/o instructions. ? supports 16/32/64 byte cacheline wrap accesses. ? supports ecc for its internal sram buffer. ? programmable device sizes. ? programmable write protected regions to block system writes from taking effect. ? programmable delays between transactions. ? legacy mode allowing software direct access to low level transmit and receive fifos bypassing the higher layer processes. ? independent reference clock to decouple bus clock from spi clock ? allows slow system clocks. ? serial clock with programmable polarity. ? programmable baud rate generator to generate qspi clocks. ? features included to improve high speed read data capture mechanism. ? option to use adapted clocks to further improve read data capturing. ? programmable interrupt generation. ? up to four external chip selects. ? supports little-endian operation only. for more information, see section quad serial peripheral interface (qspi) in chapter peripherals of the device trm. 6.10.16 spi the spi module is a master/slave high-speed synchronous serial input/output interface that allows a serial bit stream of programmed length (2 to 16 bits) to be shifted in and out of the device at a programmed bit- transfer rate. there are four separate spi modules (spi0, spi1, spi2, and spi3) in the device. all these four modules support up to two external devices (two chip selects) and are able to work as both master and slave. the spi module allows multiple programmable chip-selects. it is normally used for advance information
198 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated communication between the device and external peripherals. typical applications include interface to external i/o or peripheral expansion via devices such as shift registers, display drivers, spi eeproms, and analog-to-digital converters. the spi module may be used to connect to serial flash memory devices for booting. the spi module supports edma events and can be used in conjunction with edma for data transfer with minimal cpu overhead. the spi module has the following features: ? 16-bit shift register ? 16-bit receive buffer register and 16-bit receive buffer emulation alias register ? 16-bit transmit data register and 16-bit transmit data and format selection register ? 8-bit baud clock generator ? serial clock (spim_clk) i/o pin ? slave in, master out (spim_simo) i/o pin ? slave out, master in (spim_somi) i/o pin ? 2 chip select signals (spim_scsn0 and spim_scsn1) ? programmable spi clock frequency range ? programmable character length (2 to 16 bits) ? programmable clock phase (delay or no delay) ? programmable clock polarity (high or low) ? interrupt capability ? dma support (read/write synchronization events) ? operates at up to 50 mhz in master mode and 25 mhz in slave mode (actual speed depends on spi functional clock and spi clock divider) the spi module allows software to program the following options: ? spim_clk frequency (spi functional clock / 2 through spi functional clock / 256) ? 3-pin and 4-pin options ? character length (2 to 16 bits) and shift out direction (msb/lsb first) ? clock phase (delay or no delay) and polarity (high or low) ? delay between transmissions in master mode ? chip select setup and hold times in master mode ? chip select hold in master mode the spi module does not support the following features: ? multibuffer mode ? parallel mode and parity ? gpio mode for more information, see section serial peripheral interface (spi) in chapter peripherals of the device trm. 6.10.17 timers there are total of 7 chip-level timers. the device includes several types of timers used by the system software, including general-purpose (gp) timers, watchdog timers, and a wake-up timer, as it follows: ? timer_0 is dedicated/tightly coupled for c66x corepac. timer_0 can be used as general-purpose timer or watchdog timer ? timer_1 through timer_4 are general-purpose timers ? timer_5 is dedicated/tightly coupled for the arm core 0. timer_5 can be used as general-purpose timer or watchdog timer advance information
199 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated ? timer_6 is dedicated as device wake-up timer by interrupting pmmc cpu. timer_6 cannot be used by high-level software as a general-purpose timer or watchdog. timer_6 is neither connected to timer pin manager block nor to timer ios. ? on-the-fly read/write register (while counting) each timer has two input pins (tinpl and tinph) and two output pins (toutl and touth). at the chip level there are 4 timer pins ? two input pins (timi[1:0]) and two output pins (timo[1:0]). each of timer_0 through timer_5 input can be configured to be driven by the timer input pins. each of timo[1:0] output pin can be driven by any of the timer outputs. the selection of timer inputs and outputs is controlled by timer pin manager. the timer pin manager block is controlled by registers in boot_cfg module. for more information, see section timers in chapter peripherals of the device trm. 6.10.18 uart the universal asynchronous receiver/transmitter peripheral is 16550 standard compatible asynchronous communications element. the uart can be placed in an alternate fifo mode. this relieves the cpu of excessive software overhead by buffering received and transmitted characters. the receiver and transmitter fifos store up to 16 bytes including three additional bits of error status per byte for the receiver fifo. there are 3 uart (uart_0, uart_1 and uart_2) modules in the device. only uart_0 supports full modem control functions. each uart can be used for configuration and data exchange with a number of external peripheral devices or interprocessor communication between devices. the uart_i (where i = 0 to 2) include the following features: ? 16550 standard compatible ? 16-byte fifo buffer for receiver and 16-byte fifo for transmitter ? baud generation based on programmable divisors operating from a fixed functional clock of 192 mhz ? oversampling is programmed by software as 16 or 13. thus, the baud rate computation is one of two options: ? baud rate = (functional clock / 16) / n ? baud rate = (functional clock / 13) / n ? break character detection and generation ? configurable data format: ? data bit: 5, 6, 7, or 8 bits ? parity bit: even, odd, none ? stop-bit: 1, 1.5, 2 bit(s) ? flow control: hardware (rts/cts) ? the 192 mhz functional clock option allows baud rates up to 12mbps the uart performs serial-to-parallel conversions on data received from a peripheral device or modem and parallel-to-serial conversion on data received from the cpu. the cpu can read the uart status at any time. the uart includes control capability and a processor interrupt system that can be tailored to minimize software management of the communications link. for more information, see section universal asynchronous receiver/transmitter (uart) in chapter peripherals of the device trm. 6.10.19 usb similar to earlier versions of usb bus, usb 2.0 is a general-purpose cable bus, supporting data exchange between a host device and a wide range of simultaneously accessible peripherals. advance information
200 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 detailed description copyright ? 2017 ? 2018, texas instruments incorporated the device supports two usb 2.0 subsystems with high speed dual-role-device (drd) ports with integrated phy. the usb 2.0 subsystem, supports the following usb features: ? dual-role-device (drd) capability: ? supports usb 2.0 peripheral (or device) mode at highspeed (480 mbps) and fullspeed (12 mbps) ? supports usb 2.0 host mode at highspeed (480 mbps), fullspeed (12 mbps), and lowspeed (1.5 mbps) ? usb 2.0 static peripheral operation ? usb 2.0 static host operation ? xhci debug capability ? external buffer control (ebc) mode for in (tx) endpoint ? each controller instance contains single xhci with the following features: ? compatible to the xhci specification (revision 1.1) in host mode ? supports 15 transmit (tx), 15 receive (rx) endpoints (eps), and one ep0 endpoint which is bidirectional ? internal dma controller ? interrupt moderation and blocking ? supports for all usb transfer modes - control, bulk, interrupt, and isochronous ? supports high bandwidth iso mode ? descriptor caching and data pre-fetching used to improve system performance ? dynamic fifo memory allocation for all endpoints ? operation flexibility: ? uniform programming model for hs, fs, and ls operation ? multiple interrupt lines: ? 16 interrupts associated with 16 programmable event rings for multi-core support ? a misc interrupt line for all miscellaneous events ? ecc ram ? external requirements: ? an external charge pump for vbus 5 v generation ? an external reference clock input for usb phy operation ? an external high-precision resistor for internal phy termination calibration the following are usb features which are not supported: ? usb 3.0 superspeed (5 gbps) or usb3.1 superspeed+ (10 gbps) operation in either host or device modes ? otg functionality ? hsic (high speed inter-chip) ? ulpi interface for external phy ? battery charger support ? accessory charger adaptor support ? xhci virtualization ? hibernation (separate power domain for wake up from usb and save/ restore on wakeup) mode ? external buffer control (ebc) for out (rx) endpoint for more information, see section universal serial bus subsystem (usb) in chapter peripherals of the device trm. advance information
201 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7 applications, implementation, and layout note information in the following applications sections is not part of the ti component specification, and ti does not warrant its accuracy or completeness. ti ? s customers are responsible for determining suitability of components for their purposes. customers should validate and test design implementation to confirm system functionality. 7.1 ddr3l board design and layout guidelines 7.1.1 ddr3l general board layout guidelines to help ensure good signaling performance, consider the following board design guidelines: ? avoid crossing splits in the power plane. ? minimize vref noise. ? use the widest trace that is practical between decoupling capacitors and memory module. ? maintain a single reference. ? minimize isi by keeping impedances matched. ? minimize crosstalk by isolating sensitive bits, such as strobes, and avoiding return path discontinuities. ? use proper low-pass filtering on the vref pins. ? keep the stub length as short as possible. ? add additional spacing for on-clock and strobe nets to eliminate crosstalk. ? maintain a common ground reference for all bypass and decoupling capacitors. ? take into account the differences in propagation delays between microstrip and stripline nets when evaluating timing constraints. 7.1.2 ddr3l board design and layout guidelines 7.1.2.1 board designs ti only supports board designs using ddr3l memory that follow the guidelines in this document. the switching characteristics and timing diagram for the ddr3l memory controller are shown in table 7-1 and figure 7-1 . table 7-1. switching characteristics over recommended operating conditions for ddr3l memory controller no. parameter min max unit 1 t c(ddr3_clkout_p/n) cycle time, ddr3_clkout_p/n device speed 60 2.5 3.3 (1) ns device speed 100 1.876 3.3 (1) ns (1) this is the absolute maximum value of the clock period. actual maximum clock period may be limited by ddr3l speed grade and operating frequency (see the ddr3l memory device data sheet). figure 7-1. ddr3l memory controller clock timing advance information ddr3_clkout_p/n 1
202 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.1.2.2 ddr3l device combinations because there are several possible combinations of device counts and single- or dual-side mounting, table 7-2 summarizes the supported device configurations. table 7-2. supported ddr3l device combinations number of ddr3l devices ddr3l device width (bits) mirrored? ddr emif width (bits) 1 16 n 16 2 8 y (1) 16 2 16 n 32 2 16 y (1) 32 3 16 n 32 4 8 n 32 4 8 y (2) 32 5 8 n 3 (1) two ddr3l devices are mirrored when one device is placed on the top of the board and the second device is placed on the bottom of the board. (2) this is two mirrored pairs of ddr3l devices. 7.1.2.3 ddr3l interface schematic 7.1.2.3.1 32-bit ddr3l interface the ddr emif schematic varies, depending upon the width of the ddr3l devices used and the width of the bus used (16 or 32 bits). general connectivity is straightforward and very similar. 16-bit ddr devices look like two 8-bit devices. figure 7-2 and figure 7-3 show the schematic connections for 32-bit interfaces using x16 devices. 7.1.2.3.2 16-bit ddr3l interface note that the 16-bit wide interface schematic is practically identical to the 32-bit interface (see figure 7-2 and figure 7-3 ); only the high-word ddr memories are removed and the unused dqs inputs are tied off. when not using all or part of the ddr emif, the proper method of handling the unused pins is to tie off the ddr3_dqs*_p i pins to ground via a 1k- ? resistor and to tie off the ddr3_dqs*_n i pins to the corresponding dvdd_ddr supply via a 1k- ? resistor. this needs to be done for each byte not used. although these signals have internal pullups and pulldowns, external pullups and pulldowns provide additional protection against external electrical noise causing activity on the signals. the dvdd_ddr and ddr3_vrefsstl power supply pins need to be connected to their respective power supplies even if the ddr emif is not being used. all other ddr emif pins can be left unconnected. note: the only ddr emif configurations supported are 32-bits wide, 16-bits wide, or not used. advance information
203 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-2. 32-bit, one-bank ddr emif interface schematic using two 16-bit ddr3l devices dq15dq8 udm udqs udqs dq7dq0 ldm ldqs ldqs ck dq15udm udqs udqs ddr3_d31 ddr3_d24 16-bit ddr3l devices 0.1 f 0.1 f 0.1 f 32-bit ddr emif ddr3_dqm3 ddr3_dqs3_p ddr3_dqs3_n ddr3_d23 ddr3_d16 ddr3_dqm2 ddr3_dqs2_p ddr3_dqs2_n ddr3_d15 ddr3_d8 ddr3_dqm1 ddr3_dqs1_p ddr3_dqs1_n ddr3_d7 ddr3_d00 ddr3_dqm0 ddr3_dqs0_p ddr3_dqs0_n ddr3_clkout_p ddr3_clkout_n ddr3_odt0 ddr3_cen0 ddr3_ba0ddr3_ba1 ddr3_ba2 ddr3_a00ddr3_a15 ddr3_casnddr3_rasn ddr3_wen ddr3_cke0/1 ddr3_resetn ddr3_vrefsstl 8 88 8 16 dq8 dq7 ldmldqs ldqs ck odt ba1 ba0ba2 cs a0 a15 cas ras we rst cke zq vrefdq vrefca zq ck ckodt ba1 ba0ba2 cs a0a15 cas ras we rst cke zq vrefdqvrefca zq zo zo zo zo ddr_vref ddr_vtt dvdd_ddr termination is required. see terminator comments. zo value determined according to the ddr memory device data sheet. zq 0.1 f dq0 advance information
204 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-3. 32-bit, one-bank ddr emif interface schematic using four 8-bit ddr3l devices dq7dq0 dm/tqs dqs dqs dq7dq0 dm/tqs dqs dqs ck ddr3_d31 ddr3_d24 8-bit ddr3l devices 0.1 f 0.1 f 0.1 f 32-bit ddr emif ddr3_dqm3 ddr3_dqs3_p ddr3_dqs3_n ddr3_d23ddr3_d16 ddr3_dqm2 ddr3_dqs2_p ddr3_dqs2_n ddr3_d15 ddr3_d8 ddr3_dqm1 ddr3_dqs1_p ddr3_dqs1_n ddr3_d7 ddr3_d00 ddr3_dqm0 ddr3_dqs0_p ddr3_dqs0_n ddr3_clkout_p* ddr3_clkout_n* ddr3_odt0 ddr3_cen0 ddr3_ba0ddr3_ba1 ddr3_ba2 ddr3_a00ddr3_a15 ddr3_casnddr3_rasn ddr3_wen ddr3_cke0/1 ddr3_resetn ddr3_vrefsstl 8 88 8 16 ck odt ba1 ba0ba2 cs a0 a15 cas ras we rst cke zq vrefdq vrefca zq ck ckodt ba1 ba0ba2 cs a0a15 cas ras we rst cke zq vrefdqvrefca termination is required. see terminator comments. zo value determined according to the ddr memory device data sheet. zq dq7dq0 dm/tqs dqs dqs ck dq7dm/tqs dqs dqs 8-bit ddr3l devices 0.1 f 0.1 f dq0 ck odt ba1 ba0ba2 cs a0 a15 cas ras we rst cke zqvrefdq vrefca ck ckodt ba1 ba0ba2 cs a0a15 cas ras we rst cke zq vrefdqvrefca zq zo zo zo zo ddr_vref ddr_vtt zq zq tdqs nc nc tdqs tdqs nc tdqs nc 0.1 f dvdd_ddr advance information
205 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.1.2.4 compatible jedec ddr3l devices table 7-3 shows the parameters of the jedec ddr3l devices that are compatible with this interface. table 7-3. compatible jedec ddr3l devices no. parameter condition min max unit 1 jedec ddr3l device speed grade (1) ddr clock rate 400 mhz 800 1600 mt/s 400mhz < ddr clock rate 533mhz 1066 1600 mt/s 2 jedec ddr3l device bit width x8 x16 bits 3 jedec ddr3l device count (2) 2 5 devices (1) refer to table 7-1 switching characteristics over recommended operating conditions for ddr3l memory controller for the range of supported ddr clock rates. (2) for valid ddr3l device configurations and device counts, see section 7.1.2.2 , figure 7-2 , and figure 7-3 . 7.1.2.5 pcb stackup the minimum stackup for routing the ddr emif interface is a six-layer stack up as shown in table 7-4 . additional layers may be added to the pcb stackup to accommodate other circuitry, enhance si/emi performance, or to reduce the size of the pcb footprint. complete stackup specifications are provided in table 7-5 . table 7-4. six-layer pcb stackup suggestion layer type description 1 signal top routing mostly vertical 2 plane ground 3 plane split power plane 4 plane split power plane or internal routing 5 plane ground 6 signal bottom routing mostly horizontal table 7-5. pcb stackup specifications no. parameter min typ max unit ps1 pcb routing/plane layers 6 ps2 signal routing layers 3 ps3 full ground reference layers under ddr3l routing region (1) 1 ps4 full 1.5-v power reference layers under the ddr3l routing region (1) 1 ps5 number of reference plane cuts allowed within ddr3l routing region (2) 0 ps6 number of layers between ddr3l routing layer and reference plane (3) 0 ps7 pcb routing feature size 4 mils ps8 pcb trace width, w 4 mils ps9 single-ended impedance, zo 40 75 ? ps10 impedance control (5) z - 5 z z + 5 ? (1) ground reference layers are preferred over power reference layers. be sure to include bypass caps to accommodate reference layer return current as the trace routes switch routing layers. (2) no traces should cross reference plane cuts within the ddr3l routing region. high-speed signal traces crossing reference plane cuts create large return current paths which can lead to excessive crosstalk and emi radiation. (3) reference planes are to be directly adjacent to the signal plane to minimize the size of the return current loop. (4) an 18-mil pad assumes via channel is the most economical bga escape. a 20-mil pad may be used if additional layers are available for power routing. an 18-mil pad is required for minimum layer count escape. (5) z is the nominal singled-ended impedance selected for the pcb specified by ps9. advance information
206 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.1.2.6 placement figure 7-4 shows the required placement for the processor as well as the ddr3l devices. the dimensions for this figure are defined in table 7-6 . the placement does not restrict the side of the pcb on which the devices are mounted. the ultimate purpose of the placement is to limit the maximum trace lengths and allow for proper routing space. for a 16-bit ddr memory system, the high-word ddr3l devices are omitted from the placement. figure 7-4. placement specifications y2 x3 x2 x1 y1 y2 y2y2 y2 ddr3 controller pcb_ddr3_3 advance information
207 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated table 7-6. placement specifications ddr3l no. parameter min max unit kod31 x1 500 mils kod32 x2 600 mils kod33 x3 600 mils kod34 y1 1800 mils kod35 y2 600 mils kod36 ddr3l keepout region (1) kod37 clearance from non-ddr3l signal traces to ddr3l signal traces (2) 4 w (1) ddr3l keepout region to encompass entire ddr3l routing area. (2) non-ddr3l signals allowed within ddr3l keepout region provided they are separated from ddr3l routing layers by a ground plane. 7.1.2.7 ddr3l keepout region the region of the pcb used for ddr3l circuitry must be isolated from other signals. the ddr3l keepout region is defined for this purpose and is shown in figure 7-5 . the size of this region varies with the placement and ddr3l routing. additional clearances required for the keepout region are shown in table 7-6 . non-ddr3l signals should not be routed on the ddr3l signal layers within the ddr3l keepout region. non-ddr3l signals may be routed in the region, provided they are routed on layers separated from the ddr3l signal layers by a ground layer. no breaks should be allowed in the reference ground layers in this region. in addition, the dvdd_ddr power plane should cover the entire keepout region. also note that the two signals from the ddr3l controller should be separated from each other by the specification in table 7-6 (see kod37 ). advance information
208 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-5. ddr3l keepout region ddr3 controller pcb_ddr3_3 ddr3l keepout region advance information
209 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.1.2.8 bulk bypass capacitors bulk bypass capacitors are required for moderate speed bypassing of the ddr3l and other circuitry. table 7-7 contains the minimum numbers and capacitance required for the bulk bypass capacitors. note that this table only covers the bypass needs of the ddr emif controller and ddr3l devices. additional bulk bypass capacitance may be needed for other circuitry. table 7-7. bulk bypass capacitors no. parameter min max unit 1 dvdd_ddr bulk bypass capacitor count (1) 1 devices 2 dvdd_ddr bulk bypass total capacitance 22 f (1) these devices should be placed near the devices they are bypassing, but preference should be given to the placement of the high- speed (hs) bypass capacitors and ddr3l signal routing. 7.1.2.9 high-speed bypass capacitors high-speed (hs) bypass capacitors are critcal for proper ddr3l interface operation. it is particularly important to minimize the parasitic series inductance of the hs bypass capacitors, processor/ddr power, and processor/ddr ground connections. table 7-8 contains the specification for the hs bypass capacitors as well as for the power connections on the pcb. generally speaking, it is good to: 1. fit as many hs bypass capacitors as possible. 2. minimize the distance from the bypass cap to the pins/balls being bypassed. 3. use the smallest physical sized capacitors possible with the highest capacitance readily available. 4. connect the bypass capacitor pads to their vias using the widest traces possible and using the largest hole size via possible. 5. minimize via sharing. note the limites on via sharing shown in table 7-8 . table 7-8. high-speed bypass capacitors no. parameter min typ max unit 1 hs bypass capacitor package size (1) 0201 0402 10 mils 2 distance, hs bypass capacitor to processor being bypassed (2) (3) (4) 400 mils 3 processor hs bypass capacitor count per dvdd_ddr rail (12) see section 7.3 and (11) devices 4 processor hs bypass capacitor total capacitance per dvdd_ddr rail (12) see section 7.3 and (11) f 5 number of connection vias for each device power/ground ball (5) vias 6 trace length from device power/ground ball to connection via (2) 35 70 mils 7 distance, hs bypass capacitor to ddr3l device being bypassed (6) 150 mils 8 ddr3l device hs bypass capacitor count (7) 12 devices 9 ddr3l device hs bypass capacitor total capacitance (7) 0.85 f 10 number of connection vias for each hs capacitor (8) (9) 2 vias 11 trace length from bypass capacitor connect to connection via (2) (9) 35 100 mils 12 number of connection vias for each ddr3l device power/ground ball (10) 1 vias 13 trace length from ddr3l device power/ground ball to connection via (2) (8) 35 60 mils (1) lxw, 10-mil units, that is, a 0402 is a 40x20-mil surface-mount capacitor. (2) closer/shorter is better. (3) measured from the nearest processor power/ground ball to the center of the capacitor package. (4) three of these capacitors should be located underneath the processor, between the cluster of dvdd_ddr balls and ground balls, between the ddr3l interfaces on the package. (5) see the via channel ? escape for the processor package. (6) measured from the ddr3l device power/ground ball to the center of the capacitor package. (7) per ddr3l device. (8) an additional hs bypass capacitor can share the connection vias only if it is mounted on the opposite side of the board. no sharing of vias is permitted on the same side of the board. advance information
210 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated (9) an hs bypass capacitor may share a via with a ddr3l device mounted on the same side of the pcb. a wide trace should be used for the connection and the length from the capacitor pad to the ddr3l device pad should be less than 150 mils. (10) up to a total of two pairs of ddr power/ground balls may share a via. (11) the capacitor recommendations in this data manual reflect only the needs of this processor. please see the memory vendor ? s guidelines for determining the appropriate decoupling capacitor arrangement for the memory device itself. (12) for more information, see section 7.3 , power distribution network implementation guidance . 7.1.2.9.1 return current bypass capacitors use additional bypass capacitors if the return current reference plane changes due to ddr3l signals hopping from one signal layer to another. the bypass capacitor here provides a path for the return current to hop planes along with the signal. as many of these return current bypass capacitors should be used as possible. because these are returns for signal current, the signal via size may be used for these capacitors. 7.1.2.10 net classes table 7-9 lists the clock net classes for the ddr emif. table 7-10 lists the signal net classes, and associated clock net classes, for signals in the ddr emif. these net classes are used for the termination and routing rules that follow. table 7-9. clock net class definitions clock net class processor pin names ck ddr3_clkout_n* / ddr3_clkout_p* dqs0 ddr3_dqs0_p / ddrx_dqsn0 dqs1 ddr3_dqs0_p / ddr3_dqs0_n dqs2 (1) ddr3_dqs1_p / ddr3_dqs1_n dqs3 (1) ddr3_dqs2_p / ddr3_dqs2_n (1) only used on 32-bit wide ddr3l memory systems. table 7-10. signal net class definitions signal net class associated clock net class processor pin names addr_ctrl ck ddr3_ba[2:0], ddr3_a[14:0], ddr3_cen0, ddr3_casn, ddr3_rasn, ddr3_wen, ddr3_cke0, ddr3_odt0 dq0 dqs0 ddr3_d[7:0], ddr3_dqm0 dq1 dqs1 ddr3_d[15:8], ddr3_dqm1 dq2 (1) dqs2 ddr3_d[23:16], ddr3_dqm2 dq3 (1) dqs3 ddr3_d[31:24], ddr3_dqm3 (1) only used on 32-bit wide ddr3l memory systems. 7.1.2.11 ddr3l signal termination signal terminators are required for the ck and addr_ctrl net classes. the data lines are terminated by odt and, thus, the pcb traces should be unterminated. detailed termination specifications are covered in the routing rules in the following sections. 7.1.2.12 vref_ddr routing ddr3_vrefsstl (vref) is used as a reference by the input buffers of the ddr3l memories as well as the processor. vref is intended to be half the ddr3l power supply voltage and is typically generated with the dvdd_ddr and vtt power supply. it should be routed as a nominal 20-mil wide trace with 0.1 f bypass capacitors near each device connection. narrowing of vref is allowed to accommodate routing congestion. advance information
211 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.1.2.13 vtt like vref, the nominal value of the vtt supply is half the ddr3l supply voltage. unlike vref, vtt is expected to source and sink current, specifically the termination current for the addr_ctrl net class thevinen terminators. vtt is needed at the end of the address bus and it should be routed as a power sub-plane. vtt should be bypassed near the terminator resistors. 7.1.2.14 ck and addr_ctrl topologies and routing definition the ck and addr_ctrl net classes are routed similarly and are length matched to minimize skew between them. ck is a bit more complicated because it runs at a higher transition rate and is differential. the following subsections show the topology and routing for various ddr3l configurations for ck and addr_ctrl. the figures in the following subsections define the terms for the routing specification detailed in table 7-11 . 7.1.2.14.1 four ddr3l devices four ddr3l devices are supported on the ddr emif consisting of four x8 ddr3l devices arranged as one bank (cs). these four devices may be mounted on a single side of the pcb, or may be mirrored in two pairs to save board space at a cost of increased routing complexity and parts on the backside of the pcb. 7.1.2.14.1.1 ck and addr_ctrl topologies, four ddr3l devices figure 7-6 shows the topology of the ck net classes and figure 7-7 shows the topology for the corresponding addr_ctrl net classes. figure 7-6. ck topology for four x8 ddr3l devices advance information as- as+ as- as+ as- as+ a1 a2 processor differential clock output buffer ddr differential ck input buffers routed as differential pair a3 a4 a3 at rcp clock parallel terminator a1 a2 a3 a4 a3 at as- as+ rcp cac 0.1 f + C + C + C + C + C dvdd_ddr
212 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-7. addr_ctrl topology for four x8 ddr3l devices 7.1.2.14.1.2 ck and addr_ctrl routing, four ddr3l devices figure 7-8 shows the ck routing for four ddr3l devices placed on the same side of the pcb. figure 7-9 shows the corresponding addr_ctrl routing. figure 7-8. ck routing for four single-side ddr3l devices a1 a2 processor address and control output buffer ddr address and control input buffers a3 a4 a3 at vtt address and control terminator rtt as as as as as+ as- = rcprcp cac 0.1 f a1 a2 a3 a4 a3 a2 a3 a4 a3 a1 at at dvdd_ddr advance information
213 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-9. addr_ctrl routing for four single-side ddr3l devices to save pcb space, the four ddr3l memories may be mounted as two mirrored pairs at a cost of increased routing and assembly complexity. figure 7-10 and figure 7-11 show the routing for ck and addr_ctrl, respectively, for four ddr3l devices mirrored in a two-pair configuration. figure 7-10. ck routing for four mirrored ddr3l devices as+ as- = rcprcp cac 0.1 f a1 a2 a3 a4 a2 a3 a4 a1 at at a3 a3 dvdd_ddr advance information as = rtt a1 a2 a3 a4 a3 at vtt
214 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-11. addr_ctrl routing for four mirrored ddr3l devices 7.1.2.14.2 one ddr3l device a single ddr3l device is supported on the ddr emif consisting of one x16 ddr3l device arranged as one bank (cs), 16 bits wide. 7.1.2.14.2.1 ck and addr_ctrl topologies, one ddr3l device figure 7-12 shows the topology of the ck net classes and figure 7-13 shows the topology for the corresponding addr_ctrl net classes. figure 7-12. ck topology for one ddr3l device as = rtt a1 a2 a3 a4 at vtt a3 a1 a2 processor differential clock output buffer ddr differential ck input buffer routed as differential pair at rcp clock parallel terminator a1 a2 at as- as+ rcp cac 0.1 f + C + C dvdd_ddr advance information
215 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-13. addr_ctrl topology for one ddr3l device 7.1.2.14.2.2 ck and addr/ctrl routing, one ddr3l device figure 7-14 shows the ck routing for one ddr3l device placed on the same side of the pcb. figure 7-15 shows the corresponding addr_ctrl routing. figure 7-14. ck routing for one ddr3l device as+ as- = rcprcp cac 0.1 f a1 a2 at a2 at a1 dvdd_ddr advance information a1 a2 processor address and control output buffer ddr address and control input buffers at vtt address and control terminator rtt as
216 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-15. addr_ctrl routing for one ddr3l device 7.1.2.15 data topologies and routing definition no matter the number of ddr3l devices used, the data line topology is always point to point, so its definition is simple. care should be taken to minimize layer transitions during routing. if a layer transition is necessary, it is better to transition to a layer using the same reference plane. if this cannot be accommodated, ensure there are nearby ground vias to allow the return currents to transition between reference planes if both reference planes are ground or dvdd_ddr. ensure there are nearby bypass capacitors to allow the return currents to transition between reference planes if one of the reference planes is ground. the goal is to minimize the size of the return current loops. 7.1.2.15.1 dqs and dq/dm topologies, any number of allowed ddr3l devices dqs lines are point-to-point differential, and dq/dm lines are point-to-point singled ended. figure 7-16 and figure 7-17 show these topologies. figure 7-16. dqs topology figure 7-17. dq/dm topology 7.1.2.15.2 dqs and dq/dm routing, any number of allowed ddr3l devices figure 7-18 and figure 7-19 show the dqs and dq/dm routing. as = rtt a1 a2 at vtt processor dqs io buffer ddrdqs io buffer routed differentially n = 0, 1, 2, 3 dqsn- dqsn+ dn processor dq and dm io buffer ddrdq and dm io buffer n = 0, 1, 2, 3 advance information
217 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-18. dqs routing with any number of allowed ddr3l devices figure 7-19. dq/dm routing with any number of allowed ddr3l devices 7.1.2.16 routing specification 7.1.2.16.1 ck and addr_ctrl routing specification skew within the ck and addr_ctrl net classes directly reduces setup and hold margin and, thus, this skew must be controlled. the only way to practically match lengths on a pcb is to lengthen the shorter traces up to the length of the longest net in the net class and its associated clock. a metric to establish this maximum length is manhattan distance. the manhattan distance between two points on a pcb is the length between the points when connecting them only with horizontal or vertical segments. a reasonable trace route length is to within a percentage of its manhattan distance. caclm is defined as clock address control longest manhattan distance. given the clock and address pin locations on the processor and the ddr3l memories, the maximum possible manhattan distance can be determined given the placement. figure 7-20 and figure 7-21 show this distance for four loads and two loads, respectively. it is from this distance that the specifications on the lengths of the transmission lines for the address bus are determined. caclm is determined similarly for other address bus configurations; that is, it is based on the longest net of the ck/addr_ctrl net class. for ck and addr_ctrl routing, these specifications are contained in table 7-11 . advance information dqsn+ dqsn- n = 0, 1, 2, 3 routed differentially dqs dn n = 0, 1, 2, 3 dq and dm
218 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-20. four address loads on one side of pcb figure 7-21. two address loads on one side of pcb table 7-11. ck and addr_ctrl routing specification (2) (3) no. parameter min typ max unit cars31 a1+a2 length 500 (1) ps cars32 a1+a2 skew 29 ps cars33 a3 length 125 ps cars34 a3 skew (4) 6 ps cars35 a3 skew (5) 6 ps cars36 a4 length 125 ps cars37 a4 skew 6 ps cars38 as length 5 (1) 17 ps cars39 as skew 1.3 (1) 14 ps as = rtt a1 a2 a3 a4 a3 at vtt a8 (a) a8 (a) a8 (a) a8 (a) a8 (a) as = rtt a1 a2 a3 at vtt a8 (a) a8 (a) a8 (a) advance information
219 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated table 7-11. ck and addr_ctrl routing specification (2) (3) (continued) no. parameter min typ max unit cars310 as+/as- length 5 12 ps cars311 as+/as- skew 1 ps cars312 at length (6) 75 ps cars313 at skew (7) 14 ps cars314 at skew (8) 1 ps cars315 ck/addr_ctrl trace length 1020 ps cars316 vias per trace 3 (1) vias cars317 via count difference 1 (15) vias cars318 center-to-center ck to other ddr3l trace spacing (9) 4w cars319 center-to-center addr_ctrl to other ddr3l trace spacing (9) (10) 4w cars320 center-to-center addr_ctrl to other addr_ctrl trace spacing (9) 3w cars321 ck center-to-center spacing (11) (12) cars322 ck spacing to other net (9) 4w cars323 rcp (13) zo-1 zo zo+1 cars324 rtt (13) (14) zo-5 zo zo+5 (1) max value is based upon conservative signal integrity approach. this value could be extended only if detailed signal integrity analysis of rise time and fall time confirms desired operation. (2) the use of vias should be minimized. (3) additional bypass capacitors are required when using the dvdd_ddr plane as the reference plane to allow the return current to jump between the dvdd_ddr plane and the ground plane when the net class switches layers at a via. (4) non-mirrored configuration (all ddr3l memories on same side of pcb). (5) mirrored configuration (one ddr3l device on top of the board and one ddr3l device on the bottom). (6) while this length can be increased for convenience, its length should be minimized. (7) addr_ctrl net class only (not ck net class). minimizing this skew is recommended, but not required. (8) ck net class only. (9) center-to-center spacing is allowed to fall to minimum 2w for up to 1250 mils of routed length. (10) the addr_ctrl net class of the other ddr emif is considered other ddr3l trace spacing . (11) ck spacing set to ensure proper differential impedance. (12) the most important thing to do is control the impedance so inadvertent impedance mismatches are not created. generally speaking, center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended impedance, zo. (13) source termination (series resistor at driver) is specifically not allowed. (14) termination values should be uniform across the net class. (15) via count difference may increase by 1 only if accurate 3d modeling of the signal flight times ? including accurately modeled signal propagation through vias ? has been applied to ensure all segment skew maximums are not exceeded. 7.1.2.16.2 dqs and dq routing specification skew within the dqs and dq/dm net classes directly reduces setup and hold margin and thus this skew must be controlled. the only way to practically match lengths on a pcb is to lengthen the shorter traces up to the length of the longest net in the net class and its associated clock. as with ck and addr_ctrl, a reasonable trace route length is to within a percentage of its manhattan distance. dqlmn is defined as dq longest manhattan distance n, where n is the byte number. for a 32-bit interface, there are four dqlms, dqlm0-dqlm3. likewise, for a 16-bit interface, there are two dqlms, dqlm0-dqlm1. given the dqs and dq/dm pin locations on the processor and the ddr3l memories, the maximum possible manhattan distance can be determined given the placement. figure 7-22 shows this distance for four loads. it is from this distance that the specifications on the lengths of the transmission lines for the data bus are determined. for dqs and dq/dm routing, these specifications are contained in table 7-12 . advance information
220 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated figure 7-22. any number of allowed ddr3l devices db3 db2 3 2 1 dq[24:31]/dm3/dqs3 0 dq[16:23]/dm2/dqs2 db1 db0 dq[8:15]/dm1/dqs1 dq[0:7]/dm0/dqs0 db0 - db3 represent data bytes 0 - 3. advance information
221 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated table 7-12. data routing specification (2) (11) no. parameter min typ max unit drs31 db0 length 340 ps drs32 db1 length 340 ps drs33 db2 length 340 ps drs34 db3 length 340 ps drs35 dbn skew (3) 5 ps drs36 dqsn+ to dqsn- skew 1 ps drs37 dqsn to dbn skew (3) (4) 5 (10) ps drs38 vias per trace 2 (1) vias drs39 via count difference 0 (10) vias drs310 center-to-center dbn to other ddr3l trace spacing (6) 4 w (5) drs311 center-to-center dbn to other dbn trace spacing (7) 3 w (5) drs312 dqsn center-to-center spacing (8) (9) drs313 dqsn center-to-center spacing to other net 4 w (5) (1) max value is based upon conservative signal integrity approach. this value could be extended only if detailed signal integrity analysis of rise time and fall time confirms desired operation. (2) external termination disallowed. data termination should use built-in odt functionality. (3) length matching is only done within a byte. length matching across bytes is neither required nor recommended. (4) each dqs pair is length matched to its associated byte. (5) center-to-center spacing is allowed to fall to minimum 2w for up to 1250 mils of routed length. (6) other ddr3l trace spacing means other ddr3l net classes not within the byte. (7) this applies to spacing within the net classes of a byte. (8) dqs pair spacing is set to ensure proper differential impedance. (9) the most important thing to do is control the impedance so inadvertent impedance mismatches are not created. generally speaking, center-to-center spacing should be either 2w or slightly larger than 2w to achieve a differential impedance equal to twice the singleended impedance, zo. (10) via count difference may increase by 1 only if accurate 3d modeling of the signal flight times ? including accurately modeled signal propagation through vias ? has been applied to ensure dbn skew and dqsn to dbn skew maximums are not exceeded. (11) it is not required to match lengths across all bytes. length matching is only required within the data bits of a given byte. 7.2 high speed differential signal routing guidance the high-speed interface layout guidelines application report (spraar7) available from http://www.ti.com/lit/pdf/spraar7 provides guidance for successful routing of the high speed differential signals. this includes pcb stackup and materials guidance as well as routing skew, length and spacing limits. ti supports only designs that follow the board design guidelines contained in the application report. 7.3 power distribution network implementation guidance the power delivery network analysis application report (sprac38) available from http://www.ti.com/lit/pdf/sprac38 provides guidance for successful implementation of the power distribution network. this includes pcb stackup guidance as well as guidance for optimizing the selection and placement of the decoupling capacitors. ti supports only designs that follow the board design guidelines contained in the application report. advance information
222 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated 7.4 single-ended interfaces 7.4.1 general routing guidelines the following paragraphs detail the routing guidelines that must be observed when routing the various functional lvcmos interfaces. ? line spacing: ? for a line width equal to w, the spacing between two lines must be 2w, at least. this minimizes the crosstalk between switching signals between the different lines. on the pcb, this is not achievable everywhere (for example, when breaking signals out from the device package), but it is recommended to follow this rule as much as possible. when violating this guideline, minimize the length of the traces running parallel to each other (see figure 7-23 ). figure 7-23. ground guard illustration ? length matching (unless otherwise specified): ? for bus or traces at frequencies less than 10 mhz, the trace length matching (maximum length difference between the longest and the shortest lines) must be less than 25 mm. ? for bus or traces at frequencies greater than 10 mhz, the trace length matching (maximum length difference between the longest and the shortest lines) must be less than 2.5 mm. ? characteristic impedance ? unless otherwise specified, the characteristic impedance for single-ended interfaces is recommended to be between 35- and 65- . ? multiple peripheral support ? for interfaces where multiple peripherals have to be supported in the star topology, the length of each branch has to be balanced. before closing the pcb design, it is highly recommended to verify signal integrity based on simulations including actual pcb extraction. 7.5 clock routing guidelines 7.5.1 oscillator routing when designing the printed-circuit board: ? place the crystal circuit on the same side of the pcb as the 66ak2g1x device and as close as possible to the respective device pins sysosc_in / sysosc_out, or audosc_in / audosc_out. ? the crystal circuit traces should be placed on the outer layer of the pcb when possible, with the lengths being as short as possible to reduce parasitic capacitance and minimize crosstalk from other signals. ? do not route any other signals under the crystal circuit traces if there is an adjacent signal layer on the pcb. ? route all crystal circuit component ground connections to one common ground via. this via must directly connect to the ground plane. w d+ s = 2 w = 200 m swps040-185 advance information
223 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 applications, implementation, and layout copyright ? 2017 ? 2018, texas instruments incorporated ? treat vss_osc_audio and vss_osc_sys pins the same way as other device vss pins: connect them to board ground as near to the ball as possible. figure 7-24. sysosc and audioosc pcb requirements 7.5.2 oscillator ground connection figure 7-25. grounding scheme for internal oscillators cap via to gnd device cap swps040-196 crystal sysosc_in / audosc_in sysosc_out / audosc_out advance information device sysosc_out / audosc_out sysosc_in / audosc_in c f1 crystal rd c f2 (optional) sprs85v_pcb_clk_osc_2 vss_osc_sys / vss_osc_audio
224 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 device and documentation support copyright ? 2017 ? 2018, texas instruments incorporated 8 device and documentation support ti offers an extensive line of development tools. tools and software to evaluate the performance of the device, generate code, and develop solutions are listed below. 8.1 device nomenclature to designate the stages in the product development cycle, ti assigns prefixes to the part numbers of all microprocessors (mpus) and support tools. each device has one of three prefixes: x, p, or null (no prefix) (for example, 66ak2g12). texas instruments recommends two of three possible prefix designators for its support tools: tmdx and tmds. these prefixes represent evolutionary stages of product development from engineering prototypes (tmdx) through fully qualified production devices and tools (tmds). device development evolutionary flow: x experimental device that is not necessarily representative of the final device's electrical specifications and may not use production assembly flow. p prototype device that is not necessarily the final silicon die and may not necessarily meet final electrical specifications. null production version of the silicon die that is fully qualified. support tool development evolutionary flow: tmdx development-support product that has not yet completed texas instruments internal qualification testing. tmds fully-qualified development-support product. x and p devices and tmdx development-support tools are shipped against the following disclaimer: "developmental product is intended for internal evaluation purposes." production devices and tmds development-support tools have been characterized fully, and the quality and reliability of the device have been demonstrated fully. ti's standard warranty applies. predictions show that prototype devices (x or p) have a greater failure rate than the standard production devices. texas instruments recommends that these devices not be used in any production system because their expected end-use failure rate still is undefined. only qualified production devices are to be used. ti device nomenclature also includes a suffix with the device family name. this suffix indicates the package type (for example, aby), the temperature range (for example, blank is the default commercial temperature range), and the device speed range, in megahertz (for example, 60 is 600 mhz ). figure 8-1 provides a legend for reading the complete device name for any 66ak2g1x device. for orderable part numbers of 66ak2g1x devices in the aby package type, see the package option addendum of this document, the ti website ( www.ti.com ), or contact your ti sales representative. for additional description of the device nomenclature markings on the die, see the silicon errata. advance information
225 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 device and documentation support copyright ? 2017 ? 2018, texas instruments incorporated figure 8-1. device nomenclature (1) applies to device max junction temperature. 8.2 tools and software the following products support development for 66ak2g platforms: development tools 66ak2g clock tree tool is an interactive clock tree configuration software that allows the user to visualize the device clock tree, interact with clock tree elements and view the effect on prcm registers, interact with the prcm registers and view the effect on the device clock tree, and view a trace of all the device registers affected by the user interaction with the clock tree. 66ak2g pin mux utility is an interactive application that helps a system designer select the appropriate pin-multiplexing configuration for their device-based product design. the pin mux utility provides a way to select valid io sets of specific peripheral interfaces to ensure the pinmultiplexing configuration selected for a design only uses valid io sets supported by the device. 8.3 documentation support the following documents describe the 66ak2g devices: trm 66ak2g0x, 66ak2g1x dsp+arm keystone ii system-on-chip (soc) technical reference manual details the integration, the environment, the functional description, and the programming models for each peripheral and subsystem in the 66ak2g family of devices. errata 66ak2g1x silicon errata describes known advisories, limitations, and cautions on silicon and provides workarounds. bbbbbbbb t base production part number silicon revision package designator device speed zzz ppp device evolution stage a blank = revision 1.0 66ak2g1x = dsp + arm keystone ii g soc x = prototype (tmx) p = preproduction (tmp - production test flow, no reliability data) blank = production (tms) r aby = fcbga-n625 package (see mechanical packaging and orderable information) 60 = 600 mhz 100 = 1 ghz (see other = alternate speed grade table 5-1. supported max frequency) security identifier y blank = commercial (see q = automotive (see a = extended (see recommended operating conditions) recommended operating conditions) recommended operating conditions) temperature (1) c ip support designator e = ethercat other = alternate ip support blank = general purpose device (tms) d = hs device with ti developmental keys s = hs device with production keys advance information
226 66ak2g12 sprsp07c ? june 2017 ? revised may 2018 www.ti.com submit documentation feedback product folder links: 66ak2g12 device and documentation support copyright ? 2017 ? 2018, texas instruments incorporated 8.4 receiving notification of documentation updates to receive notification of documentation updates ? including silicon errata ? go to the product folder for your device on ti.com . in the upper right-hand corner, click the "alert me" button. this registers you to receive a weekly digest of product information that has changed (if any). for change details, check the revision history of any revised document. 8.5 electrostatic discharge caution this integrated circuit can be damaged by esd. texas instruments recommends that all integrated circuits be handled with appropriate precautions. failure to observe proper handling and installation procedures can cause damage. esd damage can range from subtle performance degradation to complete device failure. precision integrated circuits may be more susceptible to damage because very small parametric changes could cause the device not to meet its published specifications. 8.6 community resources the following links connect to ti community resources. linked contents are provided "as is" by the respective contributors. they do not constitute ti specifications and do not necessarily reflect ti's views; see ti's terms of use . ti embedded processors wiki texas instruments embedded processors wiki. established to help developers get started with embedded processors from texas instruments and to foster innovation and growth of general knowledge about the hardware and software surrounding these devices. 8.7 trademarks neon, coresight are trademarks of arm limited. arm, cortex are registered trademarks of arm limited. ethercat is a trademark of beckhoff automation gmbh. qspi is a trademark of cadence design systems, inc. medialb is a registered trademark of microchip technology inc. mipi is a registered trademark of mobile industry processor interface (mipi) alliance. pci express, pcie are registered trademarks of pci-sig. profibus is a registered trademark of profibus and profinet international. all other trademarks are the property of their respective owners. 8.8 glossary ti glossary this glossary lists and explains terms, acronyms, and definitions. advance information
227 66ak2g12 www.ti.com sprsp07c ? june 2017 ? revised may 2018 submit documentation feedback product folder links: 66ak2g12 mechanical packaging and orderable information copyright ? 2017 ? 2018, texas instruments incorporated 9 mechanical packaging and orderable information the following pages include mechanical packaging and orderable information. this information is the most current data available for the designated devices. this data is subject to change without notice and revision of this document. for browser-based versions of this data sheet, refer to the left-hand navigation. advance information
package option addendum www.ti.com 30-jun-2018 addendum-page 1 packaging information orderable device status (1) package type package drawing pins package qty eco plan (2) lead/ball finish (6) msl peak temp (3) op temp (c) device marking (4/5) samples 66AK2G12ABY100 active fcbga aby 625 1 tbd call ti call ti 0 to 70 66ak2g12aby60 active fcbga aby 625 1 tbd call ti call ti 0 to 70 66ak2g12abya100 active fcbga aby 625 1 tbd call ti call ti -40 to 105 66ak2g12abya100e active fcbga aby 625 1 tbd call ti call ti -40 to 105 66ak2g12abya60 active fcbga aby 625 1 tbd call ti call ti -40 to 105 66ak2g12abya60e active fcbga aby 625 1 tbd call ti call ti -40 to 105 x66AK2G12ABY100 active fcbga aby 625 1 tbd call ti call ti 0 to 70 x66ak2g12aby60 active fcbga aby 625 1 tbd call ti call ti 0 to 70 (1) the marketing status values are defined as follows: active: product device recommended for new designs. lifebuy: ti has announced that the device will be discontinued, and a lifetime-buy period is in effect. nrnd: not recommended for new designs. device is in production to support existing customers, but ti does not recommend using this part in a new design. preview: device has been announced but is not in production. samples may or may not be available. obsolete: ti has discontinued the production of the device. (2) rohs: ti defines "rohs" to mean semiconductor products that are compliant with the current eu rohs requirements for all 10 rohs substances, including the requirement that rohs substance do not exceed 0.1% by weight in homogeneous materials. where designed to be soldered at high temperatures, "rohs" products are suitable for use in specified lead-free processes. ti may reference these types of products as "pb-free". rohs exempt: ti defines "rohs exempt" to mean products that contain lead but are compliant with eu rohs pursuant to a specific eu rohs exemption. green: ti defines "green" to mean the content of chlorine (cl) and bromine (br) based flame retardants meet js709b low halogen requirements of <=1000ppm threshold. antimony trioxide based flame retardants must also meet the <=1000ppm threshold requirement. (3) msl, peak temp. - the moisture sensitivity level rating according to the jedec industry standard classifications, and peak solder temperature. (4) there may be additional marking, which relates to the logo, the lot trace code information, or the environmental category on the device. (5) multiple device markings will be inside parentheses. only one device marking contained in parentheses and separated by a "~" will appear on a device. if a line is indented then it is a continuation of the previous line and the two combined represent the entire device marking for that device.
package option addendum www.ti.com 30-jun-2018 addendum-page 2 (6) lead/ball finish - orderable devices may have multiple material finish options. finish options are separated by a vertical ruled line. lead/ball finish values may wrap to two lines if the finish value exceeds the maximum column width. important information and disclaimer: the information provided on this page represents ti's knowledge and belief as of the date that it is provided. ti bases its knowledge and belief on information provided by third parties, and makes no representation or warranty as to the accuracy of such information. efforts are underway to better integrate information from third parties. ti has taken and continues to take reasonable steps to provide representative and accurate information but may not have conducted destructive testing or chemical analysis on incoming materials and chemicals. ti and ti suppliers consider certain information to be proprietary, and thus cas numbers and other limited information may not be available for release. in no event shall ti's liability arising out of such information exceed the total purchase price of the ti part(s) at issue in this document sold by ti to customer on an annual basis.
www.ti.com package outline c 1.56 max 0.42 0.32 19.2 typ 19.2 typ 0.8 typ 0.8 typ 625x 0.57 0.47 b 21.1 20.9 a 21.1 20.9 ( 17) 4x (r1) (0.4) (0.55) (0.9) typ (0.9) typ 4223580/a 04/2017 fcbga - 1.56 mm max height aby0625a ball grid array notes: 1. all linear dimensions are in millimeters. any dimensions in parenthesis are for reference only. dimensioning and tolerancing per asme y14.5m. 2. this drawing is subject to change without notice. ball a1 corner seating plane ball typ 0.2 c a 1 2 3 0.2 c a b 0.08 c 4 5 6 7 8 9 10 11 12 13 symm symm b c d e f g h j k l m n 14 15 16 17 18 19 20 21 22 23 24 25 p r t u v w y aa ab ac ad ae scale 0.700
www.ti.com example board layout 0.05 min 0.05 max 625x ( 0.4) (0.8) typ (0.8) typ ( 0.4) solder mask opening ( 0.4) metal 4223580/a 04/2017 fcbga - 1.56 mm max height aby0625a ball grid array notes: (continued) 3. final dimensions may vary due to manufacturing tolerance considerations and also routing constraints. see texas instruments literature no. spru811 (www.ti.com/lit/spru811). solder mask details not to scale symm symm c 1 2 3 4 5 6 7 8 9 10 11 12 13 a b d e f g h j k l m n land pattern example exposed metal shown scale: 4x 14 15 16 17 18 19 20 21 22 23 24 25 p r t u v w y aa ab ac ad ae non-solder mask defined (preferred) exposed metal solder mask opening solder mask defined metal under solder mask exposed metal
www.ti.com example stencil design (0.8) typ (0.8) typ 625x ( 0.4) 4223580/a 04/2017 fcbga - 1.56 mm max height aby0625a ball grid array notes: (continued) 4. laser cutting apertures with trapezoidal walls and rounded corners may offer better paste release. symm symm solder paste example based on 0.15 mm thick stencil scale: 4x c 1 2 3 4 5 6 7 8 9 10 11 12 13 a b d e f g h j k l m n 14 15 16 17 18 19 20 21 22 23 24 25 p r t u v w y aa ab ac ad ae
important notice texas instruments incorporated (ti) reserves the right to make corrections, enhancements, improvements and other changes to its semiconductor products and services per jesd46, latest issue, and to discontinue any product or service per jesd48, latest issue. buyers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. ti ? s published terms of sale for semiconductor products ( http://www.ti.com/sc/docs/stdterms.htm ) apply to the sale of packaged integrated circuit products that ti has qualified and released to market. additional terms may apply to the use or sale of other types of ti products and services. reproduction of significant portions of ti information in ti data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. ti is not responsible or liable for such reproduced documentation. information of third parties may be subject to additional restrictions. resale of ti products or services with statements different from or beyond the parameters stated by ti for that product or service voids all express and any implied warranties for the associated ti product or service and is an unfair and deceptive business practice. ti is not responsible or liable for any such statements. buyers and others who are developing systems that incorporate ti products (collectively, ? designers ? ) understand and agree that designers remain responsible for using their independent analysis, evaluation and judgment in designing their applications and that designers have full and exclusive responsibility to assure the safety of designers ' applications and compliance of their applications (and of all ti products used in or for designers ? applications) with all applicable regulations, laws and other applicable requirements. designer represents that, with respect to their applications, designer has all the necessary expertise to create and implement safeguards that (1) anticipate dangerous consequences of failures, (2) monitor failures and their consequences, and (3) lessen the likelihood of failures that might cause harm and take appropriate actions. designer agrees that prior to using or distributing any applications that include ti products, designer will thoroughly test such applications and the functionality of such ti products as used in such applications. ti ? s provision of technical, application or other design advice, quality characterization, reliability data or other services or information, including, but not limited to, reference designs and materials relating to evaluation modules, (collectively, ? ti resources ? ) are intended to assist designers who are developing applications that incorporate ti products; by downloading, accessing or using ti resources in any way, designer (individually or, if designer is acting on behalf of a company, designer ? s company) agrees to use any particular ti resource solely for this purpose and subject to the terms of this notice. ti ? s provision of ti resources does not expand or otherwise alter ti ? s applicable published warranties or warranty disclaimers for ti products, and no additional obligations or liabilities arise from ti providing such ti resources. ti reserves the right to make corrections, enhancements, improvements and other changes to its ti resources. ti has not conducted any testing other than that specifically described in the published documentation for a particular ti resource. designer is authorized to use, copy and modify any individual ti resource only in connection with the development of applications that include the ti product(s) identified in such ti resource. no other license, express or implied, by estoppel or otherwise to any other ti intellectual property right, and no license to any technology or intellectual property right of ti or any third party is granted herein, including but not limited to any patent right, copyright, mask work right, or other intellectual property right relating to any combination, machine, or process in which ti products or services are used. information regarding or referencing third-party products or services does not constitute a license to use such products or services, or a warranty or endorsement thereof. use of ti resources may require a license from a third party under the patents or other intellectual property of the third party, or a license from ti under the patents or other intellectual property of ti. ti resources are provided ? as is ? and with all faults. ti disclaims all other warranties or representations, express or implied, regarding resources or use thereof, including but not limited to accuracy or completeness, title, any epidemic failure warranty and any implied warranties of merchantability, fitness for a particular purpose, and non-infringement of any third party intellectual property rights. ti shall not be liable for and shall not defend or indemnify designer against any claim, including but not limited to any infringement claim that relates to or is based on any combination of products even if described in ti resources or otherwise. in no event shall ti be liable for any actual, direct, special, collateral, indirect, punitive, incidental, consequential or exemplary damages in connection with or arising out of ti resources or use thereof, and regardless of whether ti has been advised of the possibility of such damages. unless ti has explicitly designated an individual product as meeting the requirements of a particular industry standard (e.g., iso/ts 16949 and iso 26262), ti is not responsible for any failure to meet such industry standard requirements. where ti specifically promotes products as facilitating functional safety or as compliant with industry functional safety standards, such products are intended to help enable customers to design and create their own applications that meet applicable functional safety standards and requirements. using products in an application does not by itself establish any safety features in the application. designers must ensure compliance with safety-related requirements and standards applicable to their applications. designer may not use any ti products in life-critical medical equipment unless authorized officers of the parties have executed a special contract specifically governing such use. life-critical medical equipment is medical equipment where failure of such equipment would cause serious bodily injury or death (e.g., life support, pacemakers, defibrillators, heart pumps, neurostimulators, and implantables). such equipment includes, without limitation, all medical devices identified by the u.s. food and drug administration as class iii devices and equivalent classifications outside the u.s. ti may expressly designate certain products as completing a particular qualification (e.g., q100, military grade, or enhanced product). designers agree that it has the necessary expertise to select the product with the appropriate qualification designation for their applications and that proper product selection is at designers ? own risk. designers are solely responsible for compliance with all legal and regulatory requirements in connection with such selection. designer will fully indemnify ti and its representatives against any damages, costs, losses, and/or liabilities arising out of designer ? s non- compliance with the terms and provisions of this notice. mailing address: texas instruments, post office box 655303, dallas, texas 75265 copyright ? 2018, texas instruments incorporated


▲Up To Search▲   

 
Price & Availability of 66AK2G12ABY100

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X